标题:
基于FPGA的3位锁存源码
[打印本页]
作者:
bzc4444
时间:
2018-5-31 12:39
标题:
基于FPGA的3位锁存源码
基于FPGA的3位锁存
Ilibrsry ieee;
use ieee.std_logic_1164.all;
entity sn74373 is
port(d: in std_logic_vetor(8 downto 1);
OEN:in std_logic;
G:in std_logic;
Q:out std_logic_vector(8 downto 1));
end entity sn74373;
architecture two of sn74373 is
signal sigvec_save:std_logic_vector(8 downto 1);
begin
process(D,OEN,G)
begin
if OEN='0' then
Q<=sigvec_save;
else
Q<="ZZZZZZZZ";
end if;
if G='1' then
sigvec_save<=D;
end if;
end process;
eng architecture two;
复制代码
fpga.docx
2018-5-31 12:38 上传
点击文件名下载附件
下载积分: 黑币 -5
10.56 KB, 下载次数: 2, 下载积分: 黑币 -5
3位所存
欢迎光临 (http://www.51hei.com/bbs/)
Powered by Discuz! X3.1