找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2555|回复: 4
打印 上一主题 下一主题
收起左侧

单片机数字电子时钟套件,求解读每一步程序的意思及备注

[复制链接]
跳转到指定楼层
楼主
ID:860390 发表于 2020-12-15 10:46 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1黑币
#include "STC15F2K.h"
#include "intrins.h"
#include <math.h>

#define uchar unsigned char
#define uint unsigned int

sfr ADC_LOW2    =   0xBE;           //ADC低2位结果

#define ADC_POWER   0x80            //ADC电源控制位
#define ADC_FLAG    0x10            //ADC完成标志
#define ADC_START   0x08            //ADC起始控制位
#define ADC_SPEEDLL 0x00            //540个时钟
#define ADC_SPEEDL  0x20            //360个时钟
#define ADC_SPEEDH  0x40            //180个时钟
#define ADC_SPEEDHH 0x60            //90个时钟

sbit DS1=P3^4;
sbit DS2=P3^5;
sbit DS3=P3^6;
sbit DS4=P3^7;

sbit set=P3^0;
sbit jia=P3^1;

sbit bell=P1^7;

uchar dat1[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xf8,0X80,0X90,0xff,0xc6};
uchar dat2[]={0x40,0x79,0x24,0x30,0x19,0x12,0x02,0x78,0X00,0X10,0xff};//有小数点

uchar dat3[]={0x40,0x4F,0x24,0x06,0x0B,0x12,0x10,0x47,0X00,0X02,0xff};//有小数点
uchar dat4[]={0xC0,0xCF,0xA4,0x86,0x8B,0x92,0x90,0xC7,0X80,0X82,0xff};//

uchar table[4]={0};
unsigned int temp[2];

extern void init_ds1302_io();
extern void init_ds1302();
extern void read_time();
extern void write_time();
extern void read_nao();

extern int fen;
extern int shi;

extern int nfen;
extern int nshi;

//extern int ri;
//extern int yue;
//extern int week;

void Delayms(uint t)
{
         uchar i;
        while(t--)
           for(i=0;i<123;i++);
}

char flag=1;
int ld;
void display()
{
        DS1=0;
        DS2=1;
        DS3=1;
        DS4=1;
        P2=dat1[table[0]];
        Delayms(1);

        if(flag>0)
        {
                DS1=1;
                DS2=0;
                DS3=1;
                DS4=1;
                P2=dat2[table[1]];
                Delayms(1);
                DS1=1;
                DS2=1;
                DS3=0;
                DS4=1;
                P2=dat3[table[2]];
                Delayms(1);        
        }
        else
        {
                DS1=1;
                DS2=0;
                DS3=1;
                DS4=1;
                P2=dat1[table[1]];
                Delayms(1);
                DS1=1;
                DS2=1;
                DS3=0;
                DS4=1;
                P2=dat4[table[2]];
                Delayms(1);        
        }

        DS1=1;
        DS2=1;
        DS3=1;
        DS4=0;
        P2=dat1[table[3]];

        Delayms(1);
        DS1=1;
        DS2=1;
        DS3=1;
        DS4=1;
}
void init()
{
    TMOD= 0x10;                                   
    TL1 = (65536-50000)/256;        //设置定时初值
    TH1 = (65536-50000)%256;        //设置定时初值
    ET1 = 1;
    TR1 = 1;
    EA = 1;
}
char menu=0;
bit gk=0;//光控开标志
bit nk=0;//闹钟开标志:受设置影响
void key()
{
        if(nk==1 && jia==0)
        {
                while(jia==0);nk=0;
        }

        if(set==0)
        {
                Delayms(5);
                if(set==0)
                {
                        bell=0;
                        menu++;
                        if(menu==3){read_nao();}
                        if(menu==7){menu=0;ET1 = 1;write_time();}
                        while(set==0);        
                        bell=1;
                }        
        }

        if(menu==1)
        {
                if(jia==0)
                {
                        Delayms(5);
                        if(jia==0)
                        {
                                bell=0;
                                if(fen >= 0x60)
                                        fen = 0;

                                fen=fen+0x01;
                                if((fen & 0x0f) >= 0x0a)
                                        fen = (fen & 0xf0) + 0x10;
                                while(jia==0);
                                bell=1;
                        }        
                }                        
        }
        if(menu==2)
        {
                if(jia==0)
                {
                        Delayms(5);
                        if(jia==0)
                        {
                                bell=0;
                                shi+=0x01;
                                if((shi & 0x0f) >= 0x0a)
                                        shi = (shi & 0xf0) + 0x10;

                                if(shi >= 0x24)
                                        shi = 0;
                                while(jia==0);
                                bell=1;
                        }        
                }               
        }
        if(menu==3)        //闹钟
        {
                if(jia==0)
                {
                        Delayms(5);
                        if(jia==0)
                        {
                                bell=0;
                                if(nfen >= 0x60)
                                        nfen = 0;

                                nfen=nfen+0x01;
                                if((nfen & 0x0f) >= 0x0a)
                                        nfen = (nfen & 0xf0) + 0x10;
                                while(jia==0);
                                bell=1;
                        }        
                }
        }
        if(menu==4)
        {
                if(jia==0)
                {
                        Delayms(10);
                        if(jia==0)
                        {
                                bell=0;
                                nshi+=0x01;
                                if((nshi & 0x0f) >= 0x0a)
                                        nshi = (nshi & 0xf0) + 0x10;

                                if(nshi >= 0x24)
                                        nshi = 0;
                                while(jia==0);
                                bell=1;
                        }        
                }        
        }
        
        if(menu==5)
        {
                if(jia==0)
                {
                        while(jia==0);
                        gk=!gk;
                }        
        }
        if(menu==6)
        {
                if(jia==0)
                {
                        while(jia==0);
                        nk=!nk;
                }        
        }        
}
void InitADC()
{
          P1ASF = 0x7f;                        //Open channels ADC function 0100 0000 p1.6使用AD功能
        ADC_RES  = 0;                                    //Clear previous result
        ADC_LOW2 = 0;
          ADC_CONTR = ADC_POWER | ADC_SPEEDLL;
}
void GetADCResult(unsigned char ch,unsigned int *value)
{
        ADC_CONTR = ADC_POWER | ADC_SPEEDLL | ch | ADC_START;
    _nop_();                        //Must wait before inquiry
    _nop_();
    _nop_();
    _nop_();
    _nop_();                        //Must wait before inquiry
    _nop_();
    while(!(ADC_CONTR & ADC_FLAG));//Wait complete flag
    ADC_CONTR &= ~ADC_FLAG;         //Close ADC

        *value = 0;
        *value = ADC_RES;
        *value = ((*value)*4 + ADC_LOW2);                //Return ADC result.×¢êíμ?′????ò·μ??8??ADC?á1?
}
void ADC_convert(void)
{
        GetADCResult(2,&temp[0]);
        temp[0] = (unsigned int) ( ( 3950.0 / ( 11.33657 + log( 6.04 * (float)temp[0] / ( 1024.0 - (float)temp[0] ) ) ) - 278.15) * 100 );//273.15
}
char t=0;
int t1;
void main()
{
    init();
        InitADC();
        init_ds1302_io();
        init_ds1302();
        read_nao();
        while(1)
        {
                key();

                if(menu==0)
                {
                        if(t1>300 && t1<315){flag=0;table[0]=10;table[1]=10;table[2]=10;table[3]=temp[0]/1000;}
                        if(t1>315 && t1<330){flag=0;table[0]=10;table[1]=10;table[2]=temp[0]/1000;table[3]=temp[0]%1000/100;}

                           if(t1>330 && t1<450)
                        {
                                ADC_convert();
                                table[0]=10;
                                table[1]=temp[0]/1000;
                                table[2]=temp[0]%1000/100;
                                table[3]=11;               
                                flag=0;                        
                        }
                        
                        if(t1>0 && t1<15) {table[0]=10;table[1]=10;table[2]=10;table[3]=shi/16;}
                        if(t1>15 && t1<30){table[0]=10;table[1]=10;table[2]=shi/16;table[3]=shi%16;}
                        if(t1>30 && t1<45){table[0]=10;table[1]=shi/16;table[2]=shi%16;table[3]=fen/16;}

                        if(t1>45 && t1<300)
                        {        
                                read_time();
                                table[0]=shi/16;
                                table[1]=shi%16;
                                table[2]=fen/16;
                                table[3]=fen%16;
//                                table[3]=temp[1]%1000%100%10;
//                                table[2]=temp[1]%1000%100/10;
//                                table[1]=temp[1]%1000/100;
//                                table[0]=temp[1]/1000;
                        }                        
                }
                else
                {
                        if(menu==1)
                        {        
                                if(flag>0)
                                {
                                        table[2]=fen/16;
                                        table[3]=fen%16;
                                }
                                else
                                {
                                        table[2]=10;
                                        table[3]=10;                                
                                }
                                table[0]=shi/16;
                                table[1]=shi%16;                        
                        }
                        if(menu==2)
                        {
                                table[2]=fen/16;
                                table[3]=fen%16;
                                if(flag>0)
                                {
                                        table[0]=shi/16;
                                        table[1]=shi%16;                                
                                }
                                else
                                {
                                        table[0]=10;
                                        table[1]=10;        
                                }
                        }
                        if(menu==3)
                        {        
                                if(flag>0)
                                {
                                        table[2]=nfen/16;
                                        table[3]=nfen%16;
                                }
                                else
                                {
                                        table[2]=10;
                                        table[3]=10;                                
                                }
                                table[0]=nshi/16;
                                table[1]=nshi%16;                        
                        }
                        if(menu==4)
                        {
                                table[2]=nfen/16;
                                table[3]=nfen%16;
                                if(flag>0)
                                {
                                        table[0]=nshi/16;
                                        table[1]=nshi%16;                                
                                }
                                else
                                {
                                        table[0]=10;
                                        table[1]=10;        
                                }
                        }
                        if(menu==5)
                        {
                                table[0]=gk;
                                table[1]=gk;
                                table[2]=gk;
                                table[3]=gk;        
                        }
                        if(menu==6)
                        {
                                table[0]=nk;
                                table[1]=nk;
                                table[2]=nk;
                                table[3]=nk;        
                        }               
                }
                if(gk==1)//开光控
                {
                                if( temp[1]<100)ld=2;
                                if( temp[1]>100 && temp[1]<300)ld=7;
                                if( temp[1]>300 && temp[1]<500)ld=25;
                                if( temp[1]>500 && temp[1]<600)ld=70;
                                if( temp[1]>600 && temp[1]<700)ld=110;
                                if( temp[1]>700 && temp[1]<800)ld=150;
                                if( temp[1]>800 && temp[1]<900)ld=180;
                                if( temp[1]>900)ld=210;                                
                }
                else ld=2;
                display();
                Delayms(ld);                                
        }
}                                                                                                                                                                                

void InitTimer1() interrupt 3  // 1毫秒@11.0592MHz
{
    TL1 = (65536-50000)/256;        //设置定时初值
    TH1 = (65536-50000)%256;        //设置定时初值
        t++;
        t1++;
        if(t==20)
        {
                GetADCResult(3,&temp[1]);
                t=0;
                if(menu==0){if(t1>45 && t1<300)flag=!flag;else flag=0;}
                if(menu==1 || menu==2 || menu==3 || menu==4) flag=!flag;
                if(menu==5 || menu==6)flag=0;

                if(nk==1)//开闹钟
                {                                                                                         
                        if(shi==nshi && fen==nfen)bell=!bell;               
                }
                else bell=1;
               
        }
        if(t1>450)t1=0;        
}

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩1
回复

使用道具 举报

沙发
ID:584814 发表于 2020-12-16 12:47 | 只看该作者
1黑币要解读430行代码的每一步程序的意思及备注?
回复

使用道具 举报

板凳
ID:235200 发表于 2020-12-16 12:51 | 只看该作者
可以当面教,每一行打上注释未必都能说清楚
回复

使用道具 举报

地板
ID:553941 发表于 2020-12-16 13:53 | 只看该作者
这个程序基本上就是重复的代码堆叠,确如楼上说的,一行一行加注释都不一定能懂,也要看你的基础。可以探讨一下。xmkp818。不知道管理能不能给审核通过
回复

使用道具 举报

5#
ID:737771 发表于 2021-6-20 12:15 | 只看该作者
void ADC_convert(void)
{
        GetADCResult(2,&temp[0]);
        temp[0] = (unsigned int) ( ( 3950.0 / ( 11.33657 + log( 6.04 * (float)temp[0] / ( 1024.0 - (float)temp[0] ) ) ) - 278.15) * 100 );//273.15
}
中temp[0] = (unsigned int) ( ( 3950.0 / ( 11.33657 + log( 6.04 * (float)temp[0] / ( 1024.0 - (float)temp[0] ) ) ) - 278.15) * 100 );//273.15这个公式怎么来的
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表