找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索

FPGA求助帖:使用PLL结合计数器实现分频

查看数: 336 | 评论数: 4 | 收藏 1
关灯 | 提示:支持键盘翻页<-左 右->
    组图打开中,请稍候......
发布时间: 2024-1-12 21:44

正文摘要:

我本来打算使用PLL和计数器实现一个128khz的时钟分频,具体的做法是先用PLL实现64mhz的倍频,然后通过计数器,每计数到499,输出一个使能信号clk_out,随即拉低,计数器计数到500清零,进行下一次循环,通过这样的方 ...

回复

ID:1085441 发表于 2024-1-14 00:48
如果将64M用500分频,不如计数到249使信号反转,实现500分频,这样信号占空比是50%(除非有要求是其它占空比)。
注意,不能计数到250,因为0~250等于计数值是251,多计数了1次。前面程序也是,不应该计数到500,到499就要停止了。
ID:1085441 发表于 2024-1-14 00:41
我也在学习FPGA,看了一下,counter在499时也应该+1
    reg [8:0]counter;
always @(posedge clk_64M or negedge Reset_n)
    if(!Reset_n)
        clk_out<=0;

        counter <= 9'h000;    // 清零

    else if(counter==499)  
    begin

        clk_out<=1;
        counter <= counter + 9'h001;   // 增加的+1


    else if(counter==500) begin
        counter<=0;
        clk_out<=0;
    end
    else
        counter<=counter+1'b1;
ID:883242 发表于 2024-1-13 19:29
npn 发表于 2024-1-13 18:24
FPGA的PLL是一个硬件IP核,不是所有的FPGA都有PLL,不同品牌型号的用法可能有不同。

他也没用PLL来编程啊?
ID:57657 发表于 2024-1-13 18:24
FPGA的PLL是一个硬件IP核,不是所有的FPGA都有PLL,不同品牌型号的用法可能有不同。

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表