找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索

使用7455与或非门设计全加器-QuartusII 软件仿真

查看数: 5636 | 评论数: 0 | 收藏 0
关灯 | 提示:支持键盘翻页<-左 右->
    组图打开中,请稍候......
发布时间: 2020-9-17 09:20

正文摘要:

1、实验要求 1)进一步掌握 QuartusII 软件的设计和波形仿真。 2)掌握用逻辑门设计组合逻辑电路的一般设计方法。 3)掌握与或非门的逻辑功能。 复习与或非门、异或门的逻辑功能,用与或非门、异或门、与非门实 ...

回复

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表