找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 922|回复: 0
收起左侧

单片机模拟电梯运行的源程序 带详细注释

[复制链接]
ID:1060226 发表于 2023-1-4 11:19 | 显示全部楼层 |阅读模式
#include <reg51.h>                 //调用单片机头文件
#define uchar unsigned char  //无符号字符型 宏定义        变量范围0~255
#define uint  unsigned int         //无符号整型 宏定义        变量范围0~65535


//数码管段选定义 0     1    2    3           4           5        6         7          8           9        
uchar code smg_du[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,
//                                           A        B         C          D           E   F        不显示
                                         0x88,0x83,0xc6,0xa1,0x86,0x8e,0xff};         //断码        

uchar dis_smg[8] = {0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8};

bit flag_100ms,flag_1s;        
bit flag_stop = 1;   //用做到了相应的楼停下

sbit beep  = P3^7;
sbit xia   = P3^6;
sbit zhong = P3^5;
sbit shang = P3^4;

uchar value2;



sbit led1 = P0^0;          //8个发光二极管定义
sbit led2 = P0^1;
sbit led3 = P0^2;
sbit led4 = P0^3;
sbit led5 = P0^4;
sbit led6 = P0^5;
sbit led7 = P0^6;
sbit led8 = P0^7;

uchar dt_1;  //1楼电梯标志位
uchar dt_2;  //2楼电梯标志位
uchar dt_3;  //3楼电梯标志位
uchar dt_4;  //4楼电梯标志位
uchar dt_5;  //5楼电梯标志位
uchar dt_6;  //6楼电梯标志位
uchar dt_7;  //7楼电梯标志位
uchar dt_8;  //8楼电梯标志位

uchar dt_value = 1;  //电梯到哪一层的变量
uchar dt_s_x ;    //电梯上下的标志位

/****************独立按键处理函数************************/           

#define key_io P2
uchar key_can;

void key()
{
        static uchar key_new = 0,key_old = 0,key_value = 0;
        key_io = 0xff;
        if(key_new == 0)                 //按键松开
        {
                if((key_io & 0xff) == 0xff)
                        key_value ++;
                else
                        key_value = 0;
                if(key_value >= 5)         //按键松开松手检测
                {
                        key_value = 0;
                        key_new = 1;        //按键松开后进入等待按键状态
                }
        }
        else
        {
                if((key_io & 0xff) != 0xff)         //按键按下
                        key_value ++;
                else
                        key_value =0;
                if(key_value >= 5)        //按键按下消抖
                {
                        key_value = 0;
                        key_new = 0;           //按键松开后进入等待松开按键状态
                }
        }
        key_can = 20;
        if((key_new == 0) && (key_old == 1))
        {
                switch(key_io)
                {
                        case 0xfe: key_can = 1; break;          //得到按键值
                        case 0xfd: key_can = 2; break;          //得到按键值
                        case 0xfb: key_can = 3; break;          //得到按键值
                        case 0xf7: key_can = 4; break;          //得到按键值
                        case 0xef: key_can = 5; break;          //得到按键值
                        case 0xdf: key_can = 6; break;          //得到按键值
                        case 0xbf: key_can = 7; break;          //得到按键值
                        case 0x7f: key_can = 8; break;          //得到按键值
                }
//                dis_smg[0] = smg_du[key_can];
        }                        
        key_old = key_new;         
}

void key_with()
{
        if(key_can == 1)
        {
                led1 = 0;         //点亮发光二极管
                dt_1 = 1;         //
        }               
        if(key_can == 2)
        {
                led2 = 0;         //点亮发光二极管
                dt_2 = 1;
        }        
        if(key_can == 3)
        {
                led3 = 0;        //点亮发光二极管
                dt_3 = 1;
        }        
        if(key_can == 4)
        {
                led4 = 0;        //点亮发光二极管
                dt_4 = 1;
        }        
        if(key_can == 5)
        {
                led5 = 0;        //点亮发光二极管
                dt_5 = 1;
        }        
        if(key_can == 6)
        {
                led6 = 0;        //点亮发光二极管
                dt_6 = 1;
        }        
        if(key_can == 7)
        {
                led7 = 0;        
                dt_7 = 1;
        }        
        if(key_can == 8)
        {
                led8 = 0;        
                dt_8 = 1;
        }        
}
/*********************当电梯不动时、判断是向上、还是向下**********************/
void tiandi_shang_xia()
{
        static uchar value;
        if(dt_s_x == 0)
        {
                flag_stop = 1;
                if(dt_value == 1)         //电梯在第1层停下不走了
                {
                        value = dt_2 + dt_3 + dt_4 + dt_5 + dt_6 + dt_7 + dt_8;
                        if(value != 0)
                        {
                                dt_s_x = 1;   //电梯向上        
                                shang = 0;          //显示上
                                zhong = 0;        
                                xia = 1;                                         
                        }
                }                        
                if(dt_value == 2)         //电梯在第2层停下不走了
                {
                        value = dt_3 + dt_4 + dt_5 + dt_6 + dt_7 + dt_8;
                        if(value != 0)
                        {
                                dt_s_x = 1;   //电梯向上走        
                                shang = 0;          //显示上
                                zhong = 0;        
                                xia = 1;                                         
                        }
                        value = dt_1;
                        if(value != 0)
                        {
                                dt_s_x = 2;   //电梯向下走        
                                xia = 0;          //显示下
                                zhong = 0;        
                                shang = 1;                                                
                        }        
                }
                if(dt_value == 3)         //电梯在第3层停下不走了
                {
                        value = dt_4 + dt_5 + dt_6 + dt_7 + dt_8;
                        if(value != 0)
                        {
                                dt_s_x = 1;   //电梯向上走        
                                shang = 0;          //显示上
                                zhong = 0;        
                                xia = 1;                                         
                        }
                        value = dt_1 + dt_2;
                        if(value != 0)
                        {
                                dt_s_x = 2;   //电梯向下走        
                                xia = 0;          //显示下
                                zhong = 0;        
                                shang = 1;        
                                                                        
                        }        
                }        
                if(dt_value == 4)         //电梯在第4层停下不走了
                {
                        value = + dt_5 + dt_6 + dt_7 + dt_8;
                        if(value != 0)
                        {
                                dt_s_x = 1;   //电梯向上走        
                                shang = 0;          //显示上
                                zhong = 0;        
                                xia = 1;                                         
                        }
                        value = dt_1 + dt_2 + dt_3;
                        if(value != 0)
                        {
                                dt_s_x = 2;   //电梯向下走        
                                xia = 0;          //显示下
                                zhong = 0;        
                                shang = 1;                                                
                        }        
                }        
                if(dt_value == 5)         //电梯在第5层停下不走了
                {
                        value = dt_6 + dt_7 + dt_8;
                        if(value != 0)
                        {
                                dt_s_x = 1;   //电梯向上走        
                                shang = 0;          //显示上
                                zhong = 0;
                                xia = 1;                                                
                        }
                        value = dt_1 + dt_2 + dt_3 + dt_4;
                        if(value != 0)
                        {
                                dt_s_x = 2;   //电梯向下走        
                                xia = 0;          //显示下
                                zhong = 0;        
                                shang = 1;                                                
                        }        
                }        
                if(dt_value == 6)         //电梯在第5层停下不走了
                {
                        value =  dt_7 + dt_8;
                        if(value != 0)
                        {
                                dt_s_x = 1;   //电梯向上走        
                                shang = 0;          //显示上
                                zhong = 0;
                                xia = 1;                                                
                        }
                        value = dt_1 + dt_2 + dt_3 + dt_4 + dt_5;
                        if(value != 0)
                        {
                                dt_s_x = 2;   //电梯向下走        
                                xia = 0;          //显示下
                                zhong = 0;        
                                shang = 1;                                                
                        }        
                }        
                if(dt_value == 7)         //电梯在第5层停下不走了
                {
                        value = dt_8;
                        if(value != 0)
                        {
                                dt_s_x = 1;   //电梯向上走        
                                shang = 0;          //显示上
                                zhong = 0;        
                                xia = 1;                                         
                        }
                        value = dt_1 + dt_2 + dt_3 + dt_4 + dt_5 + dt_6;
                        if(value != 0)
                        {
                                dt_s_x = 2;   //电梯向下走        
                                xia = 0;          //显示下
                                zhong = 0;        
                                shang = 1;                                                
                        }        
                }        
                if(dt_value == 8)          //电梯在第5层停下不走了
                {
                        value = dt_8;
                        if(value != 0)
                        {
                                dt_s_x = 1;   //电梯向上走        
                                shang = 0;          //显示上
                                zhong = 0;        
                                xia = 1;                                         
                        }
                        value = dt_1 + dt_2 + dt_3 + dt_4 + dt_5 + dt_6;
                        if(value != 0)
                        {
                                dt_s_x = 2;   //电梯向下走        
                                xia = 0;          //显示下
                                zhong = 0;        
                                shang = 1;                                         
                        }        
                }        
        }
}

/****************电梯向上、做最后的判断是否还要继续向上****************/
void dt_shang_guan()
{
        uchar value;
        if(dt_s_x == 1)    //电梯向上  做最后的判断是否还要继续向上
        {
                if(dt_value == 1)        //在第1层
                {
                        value = dt_2 + dt_3 + dt_4 + dt_5 + dt_6 + dt_7 + dt_8;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                shang = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;        
                }               
                else if(dt_value == 2)        //在第2层
                {
                        value = dt_3 + dt_4 + dt_5 + dt_6 + dt_7 + dt_8;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                shang = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;        
                }               
                else if(dt_value == 3)        //在第3层
                {
                        value = dt_4 + dt_5 + dt_6 + dt_7 + dt_8;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                shang = 1;                //关闭上字
                                zhong = 1;                                          
                        }else
                                flag_stop = 1;         
                }               
                else if(dt_value == 4)        //在第4层
                {
                        value = dt_5 + dt_6 + dt_7 + dt_8;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                shang = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;                 
                }               
                else if(dt_value == 5)        //在第5层
                {
                        value = dt_6 + dt_7 + dt_8;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                shang = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;               
                }               
                else if(dt_value == 6)        //在第6层
                {
                        value = dt_7 + dt_8;
                        if(value == 0)         //说明上面没有人按下
            {
                                dt_s_x = 0;  //电梯停下不动了  
                                shang = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;                        
                }        
                else if(dt_value == 7)        //在第7层
                {
                        value = dt_8;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                shang = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;        
                }               
                else if(dt_value == 8)        //在第8层
                {
                        dt_s_x = 0;  //电梯停下不动了
                        shang = 1;                //关闭上字
                        zhong = 1;         
                }               
        }        
}

/****************电梯向下、做最后的判断是否还要继续向下****************/
void dt_xia_guan()
{
        uchar value;
        if(dt_s_x == 2)    //电梯向下  做最后的判断是否还要继续向下
        {
                if(dt_value == 1)        //在第1层
                {
                        dt_s_x = 0;  //电梯停下不动了
                        xia = 1;                //关闭上字
                        zhong = 1;         
                        flag_stop = 1;        
                }               
                else if(dt_value == 2)        //在第2层
                {
                        value = dt_1;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                xia = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;        
                }               
                else if(dt_value == 3)        //在第3层
                {
                        value = dt_1 + dt_2;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                xia = 1;                //关闭上字
                                zhong = 1;                                          
                        }else
                                flag_stop = 1;         
                }               
                else if(dt_value == 4)        //在第4层
                {
                        value = dt_1 + dt_2 + dt_3;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                xia = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;                 
                }               
                else if(dt_value == 5)        //在第5层
                {
                        value = dt_1 + dt_2 + dt_3 + dt_4;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                xia = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;               
                }               
                else if(dt_value == 6)        //在第6层
                {
                        value = dt_1 + dt_2 + dt_3 + dt_4 + dt_5;
                        if(value == 0)         //说明上面没有人按下
            {
                                dt_s_x = 0;  //电梯停下不动了  
                                xia = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;                        
                }        
                else if(dt_value == 7)        //在第7层
                {
                        value = dt_1 + dt_2 + dt_3 + dt_4 + dt_5+ dt_6;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                xia = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;        
                }               
                else if(dt_value == 8)        //在第8层
                {
                        value = dt_1 + dt_2 + dt_3 + dt_4 + dt_5 + dt_6 + dt_7;
                        if(value == 0)         //说明上面没有人按下
                        {
                                dt_s_x = 0;  //电梯停下不动了  
                                xia = 1;                //关闭上字
                                zhong = 1;  
                        }else
                                flag_stop = 1;        

                        
                }               
        }               
}

/***********************电梯处理函数************************/
void td_dis()
{
        uchar value,value1;
        value = dt_1 + dt_2 + dt_3 + dt_4 + dt_5 + dt_6 + dt_7 + dt_8;
        if(value != 0)
        {                   //100ms
                if(flag_stop == 1)        //到相应的楼了  要停下
                {
/***************************向上走电梯***********************************/        
                        if(dt_s_x != 0)                  //向上走电梯
                        {
                                value1 ++;
                                if(value1 >= 10)  //1s
                                {
                                        value1 = 0;
                                        if(dt_s_x == 1)                  //向上走电梯
                                        {
                                                dt_value ++;
                                                shang = 0;                //显示上字
                                                zhong = 0;
                                        }
                                        if(dt_s_x == 2)                  //向下走电梯
                                        {
                                                dt_value --;
                                                xia = 0;                //显示下字
                                                zhong = 0;
                                        }                                       
                                        if(dt_value == 1)           //当到了第一层的时候
                                        {
                                                if(dt_1 == 1)
                                                {
                                                        led1 = 1;                 //关闭第一层发光二极管
                                                        dt_1 = 0;        //清零电机在的标志
                                                        flag_stop = 0;   //到停下
                                                        beep = 0;        //打开蜂鸣器
                                                }                                 
                                        }
                                        else if(dt_value == 2)           //当到了第二层的时候
                                        {
                                                if(dt_2 == 1)
                                                {
                                                        led2 = 1;                 //关闭第二层发光二极管
                                                        dt_2 = 0;        //清零电机在的标志
                                                        flag_stop = 0;   //到停下
                                                        beep = 0;        //打开蜂鸣器
                                                }                                 
                                        }
                                        else if(dt_value == 3)           //当到了第3层的时候
                                        {
                                                if(dt_3 == 1)
                                                {
                                                        led3 = 1;                 //关闭第3层发光二极管
                                                        dt_3 = 0;        //清零电机在的标志
                                                        flag_stop = 0;   //到停下
                                                        beep = 0;        //打开蜂鸣器
                                                }                                 
                                        }
                                        else if(dt_value == 4)           //当到了第4层的时候
                                        {
                                                if(dt_4 == 1)
                                                {
                                                        led4 = 1;                 //关闭第4层发光二极管
                                                        dt_4 = 0;        //清零电机在的标志
                                                        flag_stop = 0;   //到停下
                                                        beep = 0;        //打开蜂鸣器
                                                }                                 
                                        }
                                        else if(dt_value == 5)           //当到了第5层的时候
                                        {
                                                if(dt_5 == 1)
                                                {
                                                        led5 = 1;                 //关闭第5层发光二极管
                                                        dt_5 = 0;        //清零电机在的标志
                                                        flag_stop = 0;   //到停下
                                                        beep = 0;        //打开蜂鸣器
                                                }                                 
                                        }
                                        else if(dt_value == 6)           //当到了第6层的时候
                                        {
                                                if(dt_6 == 1)
                                                {
                                                        led6 = 1;                 //关闭第6层发光二极管
                                                        dt_6 = 0;        //清零电机在的标志
                                                        flag_stop = 0;   //到停下
                                                        beep = 0;        //打开蜂鸣器
                                                }                                 
                                        }
                                        else if(dt_value == 7)           //当到了第7层的时候
                                        {
                                                if(dt_7 == 1)
                                                {
                                                        led7 = 1;                 //关闭第7层发光二极管
                                                        dt_7 = 0;        //清零电机在的标志
                                                        flag_stop = 0;   //到停下
                                                        beep = 0;        //打开蜂鸣器
                                                }                                 
                                        }
                                        else if(dt_value == 8)           //当到了第8层的时候
                                        {
                                                if(dt_8 == 1)
                                                {
                                                        led8 = 1;                 //关闭第8层发光二极管
                                                        dt_8 = 0;        //清零电机在的标志
                                                        flag_stop = 0;   //到停下
                                                        beep = 0;        //打开蜂鸣器
                                                }                                 
                                        }
                                }
                        }               
                }                                                
        }
        if(flag_stop == 0)
        {
//                        flag_stop = 1;        
//                        value1 = 0;
                value2 ++;
                if(value2 % 3 == 0)
                {
                        if(dt_s_x == 1)           //上
                        {
                                shang = ~shang;
                                zhong = ~zhong;                                
                        }
                        if(dt_s_x == 2)           //下
                        {
                                zhong = ~zhong;
                                xia = ~xia;                                
                        }               
                }
                if(value2 >= 10) //1.0s
                {                        
                        beep = 1;  //关闭蜂鸣器
                }
                if(value2 >= 20)
                {
                        value2 = 0;                                 
                        dt_shang_guan();  //电梯向上  做最后的判断是否还要继续向上
                        dt_xia_guan();          //电梯向下  做最后的判断是否还要继续向下

                }
        }        
}


/*************定时器0初始化程序***************/
void time_init()         
{
        EA   = 1;                   //开总中断
        TMOD = 0X01;          //定时器0、工作方式1
        ET0  = 1;                  //开定时器0中断
        TR0  = 1;                  //允许定时器0定时
}

/******************主程序**********************/           
void main()
{
        dis_smg[0] = smg_du[1];        
        time_init();
        while(1)
        {
                key();                  //按键程序
                if(key_can < 20)
                {         
                        if(dt_s_x == 0)
                        {
                                value2 = 0;
                                flag_stop = 1;
                        }
                        key_with();               
                }  
                tiandi_shang_xia();          //当电梯不动时、判断是向上、还是向下
                dis_smg[0] = smg_du[dt_value];          //显示
                P1 = ~dis_smg[0];
                if(flag_100ms  == 1)
                {
                        flag_100ms = 0;
                        td_dis();                 //电梯处理函数
                }
        }
}

/*************定时器0中断服务程序***************/
void time0_int() interrupt 1
{        
        static uchar value;
        TH0 = 0x3c;
        TL0 = 0xb0;     // 50ms
        value ++;
        if(value % 4 == 0)
        {
                flag_100ms = 1 ;
        }
}

原理图

原理图

模拟电梯keil程序.rar

33.34 KB, 下载次数: 15, 下载积分: 黑币 -5

keil程序

电梯文档.doc

280.65 KB, 下载次数: 12, 下载积分: 黑币 -5

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表