找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (27) |订阅|存档

FPGA/CPLD 今日: 1 |主题: 731|排名: 32 

作者 回复/查看 最后发表
预览 分频器Verilog设计 attach_img agree uid:112613 2016-11-25 22:19 03412 2016-11-25 22:19
预览 四人抢答器 新人帖 attach_img uid:112613 2016-11-25 22:03 06687 2016-11-25 22:03
预览 vhdl测量频率并用数码管显示程序 新人帖 attach_img agree uid:149351 2016-11-21 22:10 02886 2016-11-21 22:10
预览 8位加法计数器 vhdl程序 attach_img uid:147586 2016-11-13 00:07 14740 2017-3-23 02:55
预览 VHDL数字电子钟设计 新人帖 attachment agree uid:143948 2016-10-22 17:29 03003 2016-10-22 17:29
预览 FPGA人体反应速度测试器设计 attach_img uid:140725 2016-10-11 18:06 25804 2018-6-26 19:19
预览 FPGA模拟设计的计算器 attach_img uid:137190 2016-9-27 23:54 03041 2016-9-27 23:54
预览 FPGA健身自行车控制器 可编程数字系统设计实验报告 attach_img uid:137190 2016-9-26 13:00 03720 2016-9-26 13:00
预览 FPGA流水灯代码—从零开始 uid:128229 2016-6-29 14:53 14052 2016-7-3 16:09
预览 我遇到的quartus错误与警告 uid:128229 2016-6-29 14:45 04583 2016-6-29 14:45
预览 一位FPGA高人的文章 uid:128229 2016-6-29 01:33 02115 2016-6-29 01:33
预览 FPGA Verilog语言中阻塞赋值与非阻塞赋值个人看法 uid:128229 2016-6-27 01:10 12946 2016-7-3 16:38
预览 在FPGA中嵌入8051核的详细方法与步骤 agree uid:128229 2016-6-27 01:04 34720 2017-4-19 21:18
预览 采用VCA810、OPA690的信号放大处理原理图 attach_img uid:124161 2016-6-6 21:11 25192 2019-7-30 23:26
预览 谁能给我看看这段vhdl代码,给我讲解下 新人帖 uid:123572 2016-5-27 19:34 23511 2017-10-9 10:28
预览 明德扬FPGA视频教程—FPGA知识精华大串讲 新人帖 uid:121960 2016-5-19 14:55 02646 2016-5-19 14:55
预览 呵呵,上传一个FPGA叠加的效果图 uid:114320 2016-5-10 03:38 44352 2017-2-26 12:13
预览 急求基于FPGA的示波器制作资料 新人帖 uid:114599 2016-5-4 20:26 02599 2016-5-4 20:26
预览 FPGA OV7670_USB驱动摄像头 新人帖 attach_img agree uid:116414 2016-4-24 20:43 24043 2019-6-29 10:25
预览 差分编码小程序 新人帖 agree uid:116080 2016-4-23 10:20 02504 2016-4-23 10:20
预览 24进制计数器数码管显示用VHDl编写 新人帖 attach_img agree uid:115737 2016-4-21 17:21 15009 2017-11-24 10:02
预览 velilog波形发生器,成功版带仿真文件,深大,期末作业 新人帖 attach_img agree uid:114963 2016-4-18 16:57 14158 2019-5-27 19:28
预览 可维护,可移植的verilog工程设计技巧 attach_img uid:113517 2016-4-15 01:57 04958 2016-4-15 01:57
预览 FPGA学习笔记之嵌入式锁相环模块ALTPLL的配置和调用 uid:113276 2016-4-10 14:47 04348 2016-4-10 14:47
预览 FPGA学习笔记之引脚分配 agree uid:113276 2016-4-10 14:46 47289 2019-7-21 17:57
预览 如何在Quartus II 中调用Modelsim SE进行门级仿真调试 uid:113276 2016-4-10 14:45 112772 2016-5-12 15:05
预览 如何在Win10-64位-VS2015环境下配置Opencv3.0.0 uid:113276 2016-4-10 14:42 02233 2016-4-10 14:42
预览 拉普拉斯 uid:113276 2016-4-10 14:38 02018 2016-4-10 14:38
预览 QuartusII错误分析 uid:113276 2016-4-10 14:37 03269 2016-4-10 14:37
预览 同步复位和异步复位的比较 uid:113276 2016-4-10 14:37 04284 2016-4-10 14:37
预览 vhdl多功能电子秒表程序 attach_img uid:112317 2016-4-7 01:15 03983 2016-4-7 01:15
预览 EDA乒乓球 uid:111475 2016-3-30 23:20 03105 2016-3-30 23:20
预览 CPLD多波形信号发生器 uid:111475 2016-3-30 21:17 08738 2016-3-30 21:17
预览 FPGA升级防砖那些事儿 uid:110653 2016-3-28 13:46 02224 2024-2-27 13:12
预览 FPGA与CPU的异同 uid:109770 2016-3-23 00:25 02461 2016-3-23 00:25
预览 脉冲边沿检测法 uid:109770 2016-3-23 00:25 02571 2016-3-23 00:25
预览 夏宇闻教授谈FPGA工程师的入门学习 uid:109770 2016-3-22 23:38 03008 2016-3-22 23:38
预览 Verilog代码命名六大黄金规则 uid:109770 2016-3-22 23:34 02130 2016-3-22 23:34
预览 可编程器件的一些特殊符号 PLD器件中的连接方式 uid:109770 2016-3-22 23:11 12482 2016-3-22 23:12
预览 从自学FPGA到权威翻译的这五年—特权同学,永远忠于年轻时的梦想 agree uid:109770 2016-3-22 23:08 010234 2016-3-22 23:08
预览 读特权同学《深入浅出玩转FPGA》有感 uid:109770 2016-3-22 23:05 17579 2019-1-2 17:08
预览 啥时候用到FIFO uid:109770 2016-3-22 23:04 12493 2018-7-27 08:40
预览 基于IP核的FPGA 设计方法 uid:109770 2016-3-22 22:59 14120 2016-7-9 21:59
预览 高性能FPGA综合工具Synplify Pro uid:109770 2016-3-22 22:59 05642 2016-3-22 22:59
预览 FPGA资深FAE的经验独白 uid:109770 2016-3-22 22:58 02280 2016-3-22 22:58
预览 关于状态机的编码(独热码和格雷码) uid:109770 2016-3-22 22:57 08576 2016-3-22 22:57
预览 有些人注定是你生命中的过客 uid:109770 2016-3-22 22:51 03622 2016-3-22 22:51
预览 Verilog三段式状态机描述及模版 uid:109770 2016-3-22 22:17 05787 2016-3-22 22:17
预览 FPGA大公司面试笔试数电部分,看看你会多少 uid:109770 2016-3-22 20:11 06605 2016-3-22 20:11
预览 Can't place multiple pins assigned to pin location Pin ... uid:109770 2016-3-22 20:07 03664 2016-3-22 20:07
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

返回顶部 返回版块