找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (27) |订阅|存档

FPGA/CPLD 今日: 0|主题: 732|排名: 30 

作者 回复/查看 最后发表
预览 循环冗余校验码 uid:72008 2015-1-12 02:11 02291 2015-1-12 02:11
预览 Verilog中阻塞赋值和非阻塞赋值的正确使用 uid:72008 2015-1-12 02:10 13741 2016-3-7 22:44
预览 VHDL扩频通信课程设计总结 uid:72008 2015-1-12 00:15 33691 2019-4-23 10:17
预览 FPGA牛人的多年经验总结,很值得深思! uid:71651 2015-1-6 16:54 02842 2015-1-6 16:54
预览 VHDL键盘码 uid:71407 2015-1-1 17:42 03257 2015-1-1 17:42
预览 FPGA设计之双向移位寄存器-视频教程 uid:70976 2014-12-27 16:30 03032 2014-12-27 16:30
预览 FPGA设计之灯光控制电路-视频教程 uid:70976 2014-12-27 16:29 02402 2014-12-27 16:29
预览 vhdl数字钟的设计 uid:70976 2014-12-27 16:26 24874 2018-7-10 19:04
预览 学FPGA之四本书的感受 uid:70976 2014-12-27 16:25 23454 2019-1-3 15:07
预览 FPGA学习总结 uid:70976 2014-12-26 21:43 02329 2014-12-26 21:43
预览 FPGA前辈分享 uid:52856 2014-12-25 15:55 02224 2014-12-25 15:55
预览 基于C8051F020和FPGA的逻辑分析仪设计 attach_img uid:70650 2014-12-20 22:43 23996 2020-5-28 21:51
预览 FPGA学习及设计中的注意事项 heatlevel - [回帖奖励 18 ] uid:69352 2014-12-20 14:35 235937 2023-6-5 03:21
预览 用vhdl实现的51单片机内核 带源码 attachment uid:70481 2014-12-17 14:17 14042 2018-5-31 22:33
预览 24进制计数器的 VHDL语言设计 uid:70481 2014-12-17 13:51 212848 2017-12-20 21:58
预览 VHDL 八位二进制数减法器 uid:51269 2014-11-10 15:30 06515 2014-11-10 15:30
预览 VHDL之加法器系列(四位 八位 半加器 全加器) uid:51269 2014-11-10 15:28 021325 2014-11-10 15:28
预览 关于FPGA的VHDL算数运算 uid:51269 2014-11-10 15:24 05271 2014-11-10 15:24
预览 QuartusII 信号发生器使用方法详解 uid:51269 2014-11-10 15:22 010382 2014-11-10 15:22
预览 FPGA/CycloneIV E/EP4CE115F29C8开发板管脚配置 uid:51269 2014-11-10 15:19 09903 2014-11-10 15:19
预览 CycloneIV S-50MH显示60s计时和流水灯 uid:51269 2014-11-10 15:18 02490 2014-11-10 15:18
预览 VHDL-lcd1602液晶程序 uid:51269 2014-11-10 15:18 03357 2014-11-10 15:18
预览 带液晶、数码管计时和流水灯VHDL程序 uid:51269 2014-11-10 15:16 03268 2014-11-10 15:16
预览 VHDL数组 uid:51269 2014-11-10 15:13 03949 2014-11-10 15:13
预览 FPGA之VHDL的74HC161和74HC90court uid:262 2014-10-21 15:23 02981 2014-10-21 15:23
预览 状态机 uid:262 2014-10-17 18:52 02579 2014-10-17 18:52
预览 VHDL除法器宏模块及编程调用 uid:262 2014-10-17 18:51 05258 2014-10-17 18:51
预览 编程和绘制原理图完成数字电路设计 uid:262 2014-10-17 18:51 03951 2014-10-17 18:51
预览 VHDL编程60s时钟及显示程序 uid:51090 2014-9-29 17:11 13019 2014-12-8 20:52
预览 Win 8 环境下Blaster驱动安装 uid:51090 2014-9-29 17:10 02598 2014-9-29 17:10
预览 用wait until 用法和子程序的编辑与调用 uid:51090 2014-9-29 17:09 07294 2014-9-29 17:09
预览 适合新手学习用的资料 新人帖 attachment agree uid:66478 2014-9-22 02:08 02409 2014-9-22 02:08
预览 FPGA学习经验,FPGA学习步骤 uid:52856 2014-9-17 10:29 02222 2014-9-17 10:29
预览 FPGA牛人的经验谈 uid:65228 2014-8-31 15:51 02150 2014-8-31 15:51
预览 verilog学习笔记二:用状态机实现按键的消抖及按键按下次数计数 uid:51025 2014-8-29 17:34 211144 2020-3-25 16:59
预览 verilog学习笔记一:阻塞赋值与非阻塞赋值 agree uid:51025 2014-8-29 17:33 02921 2014-8-29 17:33
预览 QUARTUS升级注意 uid:51088 2014-8-20 03:12 04825 2014-8-20 03:12
预览 分享一段代码--BT.656视频流中恢复同步信号 uid:51088 2014-8-20 03:11 04843 2014-8-20 03:11
预览 QUARTUS 编译中 instantiates undefined entity 问题 uid:51088 2014-8-20 02:52 233038 2023-7-12 20:31
预览 2mV的错 uid:51088 2014-8-20 02:51 02458 2014-8-20 02:51
预览 ALTERA DDR2内核编译遇到的问题 uid:51088 2014-8-20 02:49 05073 2014-8-20 02:49
预览 FPGA的图像处理技术,你知道多少? uid:65228 2014-8-19 17:16 02744 2014-8-19 17:16
预览 Verilog语法学习(一) uid:51024 2014-7-30 14:39 22743 2014-7-30 14:40
预览 阻塞(=)与非阻塞(<=) attach_img uid:51024 2014-7-30 14:38 02898 2014-7-30 14:38
预览 FPGA研发之道-化繁为简 attach_img uid:51024 2014-7-30 14:38 02185 2014-7-30 14:38
预览 学以致用 attach_img uid:51024 2014-7-30 14:36 06374 2014-7-30 14:36
预览 fpga行业方向 uid:51024 2014-7-30 14:35 02165 2014-7-30 14:35
预览 同步电路 uid:51024 2014-7-30 14:33 02079 2014-7-30 14:33
预览 fpga的理解 uid:51024 2014-7-30 14:29 02163 2014-7-30 14:29
预览 TINA中添加器件(适用于有相应器件的cir文件的情况) uid:51024 2014-7-30 14:13 19396 2015-7-29 12:40
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

返回顶部 返回版块