找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 19494|回复: 23
打印 上一主题 下一主题
收起左侧

锐能微RN8302/RN7302应用笔记资料下载(共19页)

  [复制链接]
跳转到指定楼层
楼主
ID:344122 发表于 2018-6-3 18:10 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

锐能微RN8302/RN7302 应用笔记

Data: 2014-7-19 Rev: 1.0               
版本更新说明
  
版本号
修改时间
修改内容
V1.0
2014-7-19
创建
V1.1
2014-8-25
修订
















目录


1 概述  4
2 硬件电路设计  4
21采样电路  5
22 基准电压电路  7
23晶振电路  7
24复位电路  8
25 芯片电源电路  9
26 SPI通信接口电路  9
27 脉冲输出电路  10
3 可靠性设计 11
31 强电区域  11
32电源和复位  11
33 通信接口  12
34脉冲输出  12
4 软件设计 13
41上电配置步骤  13
42运行中计量芯片参数校验  13
43 SPI通信接口  13
5校表方法 19
51概述  19
52 实现及步骤  20
53OFFSET校正  25
54分段相位说明  26


1 概述

本应用笔记介绍了使用锐能微三相计量芯片RN8302/RN7302设计三相多功能电表的硬件设计、可靠性设计、软件设计方法和校表方法。阅读本文档时,请参阅相应的用户手册。

2 硬件电路设计

RN8302/RN7302外围硬件电路包括电压电流采样电路、SPI通信接口电路、脉冲输出电路、电源和复位电路、时钟电路等。典型应用电路示意图如下:  

图2-1  典型应用电路示意图1(电压采样为电阻列分压输入,电流采样为电流互感器差分输入)

ABC1TABICIAINNIRN8302/RN7302宽量程高精度多功能三相计量芯片单片机显示模块铁电或EEPROM通讯模块1K1K33nF33nF10UF0.1UF10UF0.1UF8.192MHzCFxSPIINTNREFVAGNDVOXIXOIAPIANDVCCVAPVANAVCCRSTNDGNDRTC与A相接线相同与A相接线相同UBIciUCIbi1K1K33nF33nF2TA3TAaIbIcInabcIIIIIaiUAUN(UB)IaoIboIco光耦10M15PF15PF10ΩRR0.1UF0.1UF10UF10UF+3.3V1.5~2.5M电阻列1.图中电压采样采用电阻列分压,电阻列阻值推荐为1.5M~2M(PGA=1条件),分压电阻推荐采用5~8个1206电阻。2.三相四线时,UN接地;三相三线时,UB接地(虚线部分),B路电压电流ADC输入端可悬空或用于其他用途。3.图中电流采样采用电流互感器差分输入,采样电阻阻值用户根据实际过载要求和电流ADC量程设计。

图2-2  典型应用电路示意图2 (三相三线表,电压采样为电流互感器差分输入,电流采样为电流互感器差分输入) RN8302/RN7302宽量程高精度多功能三相计量芯片单片机LCD显示模块铁电或EEPROM通讯模块1K1K1K33nF33nF60~100Ω33nF33nFCFxSPIINTNREFVAGNDVOXIXOUAPUANIAPIANDVCCABCabc1TV2TV1TA2TAaIcIBICIAI1K1K33nF33nF33nF33nFUCPUCNICPICNAVCCRSTNDGNDRTCUaUbUcIaiIaoIciIco光耦8.192MHz15PF15PF10M10UF10Ω0.1UF0.1UF10UF0.1UF10UF0.1UF10UFRRRR+3.3V1K60~100Ω1K60~100Ω1K60~100Ω190K190KT1T2T3T41.图中电压采样采用电流互感器差分输入,T3和T4的CT是1:1变换器,图中电阻参数是电压380V,CT为2mA到2mA推荐参数。2.图中电压采样电路中190K电阻采用电阻列形式,推荐采用5~8个1206电阻。3.图中电流采样采用电流互感器差分输入,采样电阻阻值用户根据实际过载要求和电流ADC量程设计。

2.1采样电路

RN8302/RN7302包含7路高精度Σ-ΔADC,其中包括3路电压ADC,4路电流ADC,为保证计量的准确性,设计时确保电压和电流的对应关系:VA对应IA   VB对应IB  VC对应IC  。

1. 电压采样电路(以A相采样为例,B/C相接法一样):

    推荐1:电阻串分压方式              额定采样值 电压通道VA 推荐额定条件下输入信号为100~200mVrms。    设计参考电路图:       注:电阻分压串的电阻通常采用6~8个1206片阻,阻值在1~2兆欧        推荐2:电流型电压互感器方式 设计参考电路图:NAUn1K1K电阻串1~2兆欧33nF33nF1KVAPVAN

               推荐3:标准电压互感器方式   设计参考电路图:         2. 电流采样电路 设计参考电路图:          电流互感器根据电流规格进行选择,电流采样电阻的确定原则: NAUn1K1K电流1~2mA33nF33nFVAPVAN6~8个电阻电流型电压互感器 2mA:2mA050一食物50欧50欧NAUn1K1K33nF33nFVAPVAN电压互感器 (额定输出100~200mv)050一食物IA+1K1K33nF33nFIAPIAN电流互感器 (5(60)A/5mA 10欧 0.05级)050一食物5欧5欧IA-IBR1R2

1. 输入保证精度的最大电流值时,其采样输入信号有效值小于ADC满量程:565.7mVrms

2. 为保证更宽的动态范围,电流互感器及取样电阻的选择应尽量选择满足精度的最大值:

推荐公式:I最大值/变比*2*R取样<800mV/1.414 I最大值:客户确定需要保证精度的电流最大值(国网表为1.2~1.4倍Imax) 变比:电流互感器变比 R取样: 电流互感器二次侧串接的电阻R1 R2的阻值   3. 采样电路PCB设计 参考如下:

图2 采样电路PCB设计参考  注意事项:

1、 同一路ADC的P端和N端抗混叠滤波电容接地端尽量靠近; ADC的接地点需有大面积地平面,全部ADC的接地点连接到大面积地上。

2、 ADC输入信号需走差分线。

3、 ADC输入信号应远离其他信号。

2.2 基准电压电路

RN8302/RN7302内置1.25V±1%  5ppm 典型值基准电压,电表设计时需在RN8302/RN7302 REF引脚上加两电容其中1个1~10uF(必须有1uf以上),另外0.1uF电容。PCB设计时,应注意基准电压走线尽量短,滤波电容尽量靠近芯片管脚。

2.3晶振电路

RN8302/RN7302系统时钟为8.192MHz,请使用8.192MHz晶振。参考设计电路请见图。

  需跨接10兆欧电阻,晶振参数:ESR<50,负载电容10~15pf 考虑杂散电容影响,建议晶振外接两个15~22pf电容 pcb设计注意:8.192MHzXIXO15pF~22pF15pF~22pF10兆欧

1. 晶体紧靠管脚

2. 走线尽量短

3. 高频部分正反面铺地完整进行屏蔽

4. 电源及信号远离晶振电路

2.4复位电路

芯片提供3中三种复位模式: 电源复位; 外部管脚复位; 命令复位;    其中命令复位与外部管脚复位等效,属于硬件复位。 复位管脚的处理(参见推荐电路):直接接电源;

pcb设计注意:

1. 直接接到电源脚

2.5 芯片电源电路

说明:1. 模拟电源与数字电源间接10欧电阻,减少数字噪声。       2..靠近AVDD和DVDD引脚处各接一个0.1uf去耦电容。PCB设计时0.1uf电容 紧靠管脚,电源走线通过电容后再到电源管脚       3. 电源电压需保证3.3V±10%。

2.6 SPI通信接口电路

RN8302/RN7302支持SPI通信接口。最高速率3.5MHz 传输信号线有可能受到干扰而出现抖动,为保证数据的可靠传输,需要外接RC进行滤波。参数的选择可根据需要确定。

  SPI电路PCB设计时,应注意走线尽量短且远离其他信号线,并加地线作为屏蔽。

2.7 脉冲输出电路

RN8302/RN7302提供可配置的脉冲输出口CF,可任意配置成:有功、无功、视在电能脉冲输出,CF1默认为全波有功,CF2默认为全波无功,脉冲输出驱动能力4mA;     CF默认为低电平,有脉冲时输出80ms高电平,当脉冲周期小于160ms时,输出占空比1:1脉冲。

3. 可靠性设计

                    图3-1 RN8302设计的三相电能表pcb参考图 使设计的电表满足电磁兼容性和可靠性,需遵循以下几点。

3.1 强电区域

安全及可靠性:参考图3-1。

1、 电表设计时,需将强电和弱电隔离开

2、 CF脉冲输出需用光耦与CPU和计量部分隔离

3、 485电路需用光耦与CPU和计量部分隔离

4、 电源与地之间加压敏电阻前端保护后到系统的电源

5、 主电源线及地线要有足够的线径(建议1.5mm以上)

3.2电源和复位

1、 RN8302/RN7302数字电源DVDD和模拟电源AVDD之间需接10欧电阻,且在靠近AVDD和DVDD引脚处各接一个100nf去耦电容。

2、 计量芯片数字地和模拟地通过大面积铺地直接连接,不需要隔离。

3、

图3-2电源和复位参考PCB图

3.3 通信接口

注意事项:   1.通讯走线尽量短且远离其他信号线,并加地线作为屏蔽。   2.去耦电容尽量靠近管脚。

3.4脉冲输出

脉冲输出限流电阻需靠近计量芯片;脉冲走线尽量远离其他信号线,并加地线屏蔽;去耦电容要靠近光耦输入端。         

4 软件设计

4.1上电配置步骤

  上电后RN8302/RN7302参数配置遵循如下步骤:     1. 通讯检测:读回deviceid(8Fh)=0x 830200后顺序执行 2.工作模式切换到计量模式EMM:    打开写使能(寄存器80h=0xE5)下,配置模式切换寄存器(81h=0xA2) 3. 命令复位:        配置软件复位寄存器(82h=0xFA) 4. 延时20ms 5. 校表参数配置:参数寄存器若为计量芯片默认值,可以不配置   打开写使能(寄存器80h=0xE5)下,对高频脉冲常数Hfconst(00h) ,通道增益(GSUA(13h)  GSUB(14h) GSUC(15h) GSIA(16h) GSIB(17h) GSIC(18h),通道相位(PHSUB(0Dh) PHSUC(0Eh)PHSIA(0fh)PHSIB(10h)PHSIC(11h)),功率增益及功率相位(寄存器28H~36H,B0H~BBH), 相位分段阈值寄存器(PRTH1L(06h)  PRTH1H(07h) PRTH2L(08h) PRTH2H(09h)),启动阈值寄存器(IStart_PS(02h)  IStart_Q(03h) ,功率OFFSET寄存器(37H~3CH)进行配置。  6. 脉冲口配置 CFCFG(60h) (默认 cf1 有功;cf2无功)     7. 三相三/三相四模式寄存器       三相三时:Modesel (86H) 配置为33h        三相四时:Modesel (86H) 配置为00h     8. 通道使能  计量控制寄存器 62H=0xFF     9.写保护(全部参数配置完成后)        配置写使能寄存器(寄存器80H=0xDC)

4.2运行中计量芯片参数校验

MCU须定时读出计量芯片的累加校验寄存器(CheckSum1(6Ah)进行校验,如校验和改变,需重新软件复位并配置计量相关参数。

4.3 SPI通信接口

4.3.1 SPI接口信号说明

SCSN:SPI从设备片选信号,低电平有效,输入信号,内部悬空,建议外接上拉电阻。

SCSN由高电平变为低电平时,表示当前芯片被选中,处于通讯状态;SCSN由低电平变

为高电平时,表示通讯结束,通讯口复位处于空闲状态。 SCLK:串行时钟输入脚,决定数据移出或移入SPI口的传输速率。 所有的数据传输操作均与SCLK同步,RN8302/RN7302在上升沿将数据从SDO引脚输出;主机在上升沿将数据从SDI引脚输出。RN8302/RN7302和主机都在下降沿读取数据。 SDI:串行数据输入脚。用于把主设备数据传输到RN8302/RN7302内部。 SDO:串行数据输出脚,用于把RN8302/RN7302数据输出给主设备。SCSN为高时,为高阻。

4.3.2 SPI帧格式

写操作:  读操作:  ADDR:寄存器地址(8bit 高位先发,具体地址见寄存器列表)         0x000~0x0FF :计量参数寄存器空间         0x100~0x1FF :配置和状态寄存器空间         0x200~0x27F :波形采样数据缓存块1空间         0x280~0x2FF :波形采样数据缓存块2空间         0x300~0x37F :波形采样数据缓存块3空间         0x380~0x3FF :波形采样数据缓存块4空间         0x400~0x47F :波形采样数据缓存块5空间         0x480~0x4FF :波形采样数据缓存块6空间 CMD: 命令字节{bit7  6  5  4  3  2  1  0} Bit7: R/W 读写控制位  =0,表示读操作;=1,表示写操作; Bit[6 5 4],表示目标地址的高3位地址,即Bank地址(计量参数寄存器=000,配置和状态寄存器=001) BL[1:0],仅在Burst读波形数据缓存帧有效,表示Burst读操作的字长(即读取多少个地址的数据); BL[1:0]=2’b00, Burst读字长为1 BL[1:0]= 2’b 01, Burst读字长为4 BL[1:0]= 2’b 10, Burst读字长为8 BL[1:0]= 2’b 11, Burst读字长为16 当地址落在波形采样数据缓存空间时,BL有效;地址采取“递增”方式; 当地址不在波形采样数据缓存空间时,BL无效;但是其值依然参与校验和计算 写操作时,BL无效,但是其值依然参与校验和计算; MSB、LSB字节:数据块;先发高字节,后发低字节; CS块:校验和字节   ADDR+CMD+DATA单字节求和取反,读数据时由计量芯片计算。 ADDRCMDMSBLSBCS„SPI Master DriveADDRCMDMSBLSBCS„SPI Master DriveSPI Slave Drive

4.3.3 SPI写操作

图4-1  SPI写时序 工作过程:  主机在SCSN有效后,先通过SPI写入地址和命令字节,再写入数据字节。注意: MDB0LDB7LDB01B2B1B0命令字节最高有效字节t1最低有效字节MDB7A2A6CS7CS0t2校验和字节CS6A7A5A4A3A1A0地址字节0000SCSNSCLKSDI

1. 以字节为单位传输,高位比特在前,低位比特在后;

2. 多字节寄存器,先传输高字节内容,再传输低字节内容;

3. 主机在SCLK高电平写数据,RN8302/RN7302在SCLK下降沿取数据;

4. 数据字节之间的RN8302/RN7302时间t1要大于等于半个SCLK周期;

5. 最后一个字节的LSB传送完毕,SCSN由低变高结束数据传输。SCLK下降沿和SCSN上升沿之间的时间t2要大于等于半个SCLK周期。

4.3.4 SPI写操作程序示例:C程序

void fnWrite_RN8302/RN7302(u16 wReg,u8 *pBuf,u8 ucLen) //写操作

{

u8 i,temp,chksum;

PinWrite_ADCS(1);

NOP();

PinWrite_ADCS(0);//打开片选

NOP();

temp = (u8)(wReg & 0x00ff);

chksum = temp;

fnSpi2_WriteByte( temp);//first  write Addr (写地址)

temp = (((u8)(wReg >> 4)) & 0xf0) + 0x80;

chksum += temp;

fnSpi2_WriteByte( temp);//second write command (写命令)

for(i = ucLen; i > 0;i-- )

{  

fnSpi2_WriteByte(pBuf[i-1]); // write data (写数据长度必须与寄存器对应字节一致)  

chksum +=pBuf[i-1];

}

chksum = chksum ^ 0x0ff;

fnSpi2_WriteByte(chksum); // 写cs (效验码)

NOP();

PinWrite_ADCS(1); //关闭片选

  PinWrite_ADSDO(1);

PinWrite_ADSCLK(1);

}

void fnSpi2_WriteByte(u8 Dat)     //写单字节子函数

{

u8 i;

for(i=0;i<8;i++) //8次循环

{

PinWrite_ADSCLK(1);  

PinWrite_ADSCLK(1);  

fnDelay2us();  

fnDelay2us();  

if(Dat & 0x80)  

{   

PinWrite_ADSDO(1); //写1   

fnDelay2us();   

fnDelay2us();   

fnDelay2us();  

}  

else  

{

PinWrite_ADSDO(0); //写0   

fnDelay2us();   

fnDelay2us();   

fnDelay2us();  

}  

PinWrite_ADSCLK(0);

fnDelay2us();  

fnDelay2us();  

fnDelay2us();  

Dat <<= 1;//左移1位  }  PinWrite_ADSDO(1);  fnDelay2us();  fnDelay2us();  fnDelay2us(); }

4.3.5 SPI读操作

主机在SCSN有效后,先通过SPI写入地址和命令字节(8bit,包含寄存器地址),RN8302/RN7302收到读命令后,在SCLK的上升沿将数据按位从SDO引脚输出。注意:

1. 以字节为单位传输,高比特在前,低比特在后;

2. 多字节寄存器,先传输高字节内容,再传输低字节内容;

3. 主机在SCLK高电平写命令字节,RN8302/RN7302在SCLK下降沿读命令字节。

4. RN8302/RN7302响应命令,在SCLK上升沿将数据从SDO输出;

5. 数据字节的时间t1要大于等于半个SCLK周期;

6. 最后一个字节的LSB传送完毕,SCSN由低变高结束数据传输。SCLK下降沿和SCSN上升沿之间的时间t2要大于等于半个SCLK周期。

图4-2  SPI读时序  MDB0LDB7LDB00B2B1B0命令字节最高有效字节t1最低有效字节MDB7A2A6CS7CS0t2校验和字节CS6A7A5A4A3A1A0地址字节0000SCSNSCLKSDISDO

4.3.6 SPI读操作程序示例C程序

void fnRead_RN8302/RN7302(u16 wReg,u8 *pBuf,u8 ucLen)  //读操作 {  u8 i,temp,chksum;  PinWrite_ADCS(1);  NOP();  PinWrite_ADCS(0);                        //打开片选  NOP();  temp = (u8)(wReg & 0x00ff);  chksum = temp;  fnSpi2_WriteByte( temp);                   //first write Addr(写地址)  temp = (((u8)(wReg >> 4)) & 0xf0) ;  chksum += temp;  fnSpi2_WriteByte( temp);                  //second write command (写命令)       for(i = ucLen; i > 0;i--)  {   pBuf[i-1] = fnSpi2_ReadByte();                             //read data(读数据长度必须与寄存器对应字节一致)   chksum += pBuf[i-1];  }  chksum = chksum ^ 0x0ff;  if(fnSpi2_ReadByte()!=chksum)  {PinWrite_ADCS(1);}  // 读cs (效验码) 并校验  NOP();  PinWrite_ADCS(1);                         //关闭片选

PinWrite_ADSDO(1);  PinWrite_ADSCLK(1); } u8 fnSpi2_ReadByte(void)                       //读单字节子函数 {     u8 i,B=0;     for(i=0;i<8;i++)                           //8次循环     {     B<<=1;                                  //左移1位   PinWrite_ADSCLK(1);   fnDelay2us();   fnDelay2us();   fnDelay2us();   fnDelay2us();   PinWrite_ADSCLK(0);   fnDelay2us();   fnDelay2us();   fnDelay2us();   fnDelay2us();   if (PinRead_ADSDI()){B=B+0x1;}   fnDelay2us();   fnDelay2us();   fnDelay2us();     }     return B;                             //返回接收数据 }           

5校表方法

5.1概述

RN7302具有丰富的校正寄存器(各ADC通道 和各相功率通道均有增益及相位校正寄存器),可以支持多种校表方式:(用户可根据自己的编程习惯及经验,及生产系统的实际情况进行选择。)

5.1.1脉冲校表法

传统的校表方法,通过比对各相的电能脉冲输出的误差进行校正 优点:对校表台的稳定度要求相对较低 缺点:A.必须要有标准表进行比对    B,必须分相逐点依次校正(A/B/C  1.0/0.5)效率低,速度慢       C.只能采用点对点方式逐个表校正,效率低

5.1.2功率校表法

优点:A.只需要功率源(能够输出电压、电流、功率),不必须标准表     B.A B C三相同时校正(如功率源的电压、电流准确,1.0/0.5L可以单点校正)     C.可以点对面对一批表同时校正,效率高  缺点:对功率源的输出功率的精度及稳定度有要求

5.1.3矢量法校表

直接对原始的测量值进行校正,校正的是三相电的完全矢量图(电压、电流的幅值及相互的准确角度)。是RN8302/RN7302所独有的(有效值及6通道的相脚测量精度高,能够满足矢量法校表的要求)。 优点:A.只需要功率源(能够输出电压、电流、功率),不必须标准表    B.A B C三相同时校正(如功率源的电压、电流准确,1.0/0.5L可以单点校正)    C.可以点对面对一批表同时校正,效率高 缺点:对功率源电压、电流、夹角的输出稳定性有要求(通常的0.05级表台输出可以满足)

5.2 实现及步骤

5.2.1脉冲校表

5.2.1.1确定基本参数

确定合适的高频脉冲常数值寄存器(Hfconst):    HFConst=INT[(Uv/0.8)*(Ui/0.8)*3.6*106*fosc / (32*EC*Un*Ib)]     式中:       Uv: 电压采样输入信号(乘以ADC增益后的值) 单位:V   Ui: 电压采样输入信号(乘以ADC增益后的值) 单位:V   Fosc:晶振频率8192000hz EC: 电表脉冲常数  imp/kwh Un:额定电压     单位:V Ib:标定电流           单位:A

5.2.1.2  PF=1.0增益校正

表台加合相额定电压Un,分相标定电流Ia PF=1.0 ,读出标准表显示的电能误差Err,按公式算出A相功率增益寄存器值GPA:  Pgain= -Err/(1+Err)        若 >0: GPA=Pgain*2^15   若  <0: GPA= Pgain*2^15+2^16 相同的方法可得到B相、C相的功率增益寄存器值GPB/GPC         各相的有功、无功、视在增益值相同:         GPA=GQA=GSA         GPB=GQB=GSB        GPC=GQC=GSC

5.2.1.3  PF=0.5L相位校正

表台加合相额定电压Un,分相标定电流Ia PF=0.5L ,读出标准表显示的电能误差Err,按公式算出A相功率相位寄存器PA_PHS 有功相位寄存器公式:

   

若≥0,则PA_PHS=*215; 

若<0,则PA_PHS=*215+216  

   相同的方法可得到B相、C相的功率相位寄存器PB_PHS/PC_PHS      无功相位寄存器与有功相位相等:    QA_PHS=PA_PHS

      QB_PHS=PB_PHS       QC_PHS=PC_PHS  分段相位说明:

1. 分段相位的应用是解决外部互感器的角差非线性问题,如互感器角差线性较好,则不需分段,只需要对低段相位寄存器写入即可,也可以高/中/低段相位寄存器写入相同的值

2. 分段支持三段,分段的原则如下:

A. 根据互感器相角差的曲线确定分段点

1. 互感器厂商提供相角测试曲线

2. 测试初始状态下全量程范围的0.5L点误差,根据误差突变点确定,两个分段阈值 PRTH1X  PRTH2X(其中PRTHXL PRTHXH是分段点的回滞区,避免电流升降过程中的调变  )

                  B.分段阈值的设置原则                       1.  PRTH1L ,PRTH1H,PRTH2L,PRTH2H必须由小到大顺序设置:PRTH1L <PRTH1H<PRTH2L<PRTH2H  先PRTH1 最后PRTH2H 2. 不需分段时:PRTH1L设为 0即可                3.  分段校正时注意                       1.必须确保所加电流处在正确的电流段上(大、中、小)            2.对应关系:               大电流段……(A/b/c)相(有功/无功)分段相位校正寄存器-高段               中电流段 ……(A/b/c)相(有功/无功)分段相位校正寄存器-中段               小电流段 ……(A/b/c)相(有功/无功)分段相位校正寄存器-低段

5.2.1.4 校正电压、电流、功率MCU转换系数

           1.IB点根据各项的标准表显示电压、电流标准值               确定各项的电压、电流转换系数 Kv=U标准/U寄存器值     KI=I标准/U寄存器值                          实际转换公式:v=U寄存器*Kv                                        I=I寄存器*KI            2.IB点(增益校正后)根据的标准表显示的总功率值功率系数: Kp=PT标准/PT寄存器值                          实际转换公式:P=P寄存器*Kp                                       

5.2.2功率法校表

5.2.2.1确定基本参数

确定合适的高频脉冲常数值寄存器(Hfconst):      HFConst=INT[(Uv/0.8)*(Ui/0.8)*3.6*106*fosc / (32*EC*Un*Ib)]      式中:       Uv: 电压采样输入信号(乘以ADC增益后的值) 单位:v   Ui: 电压采样输入信号(乘以ADC增益后的值) 单位:v   Fosc:晶振频率 8192000hz EC: 电表脉冲常数  imp/kwh Un:额定电压     单位:v Ib:标定电流           单位:A  确定功率寄存器标准值(P标准)及功率转换系数: P寄存器标准值= (32*EC*Un*Ib*231)* HFConst/ (3.6*106*fosc) Kp=( Un*Ib)/ P寄存器标准值

5.2.2.2单点校增益及相位校正

   3相4线:表台加合相Un  Ib  0.5L进行校正;   3相3线:表台加合相Un  Ib  1.0进行校正;(相电流与线电压夹角约30度)  1. 确定各相功率增益(GPA/GPB/GPC,GQA/GQB/GQC,GSA/GSB/GSC) 通过各相视在功率测量值(S测量)与标准表台输出的视在功率(S表台)的误差进行增益校正 读出标准表显示的各相视在功率:S表台,转换成对应寄存器值S0  :          S0=S表台/ Kp     根据各相视在功率寄存器测量值:P测量,按如下公式计算增益寄存器值      Pgain=(S0- S测量)/ S测量           若 >0:   GS=Pgain*2^15    若 <0:   GS=Pgain*2^15+2^16         各相的有功、无功、视在增益值相同:         GPA=GQA=GSA         GPB=GQB=GSB         GPC=GQC=GSC

2. 确定各相功率相位参数(PA_PHS/PB_PHS/PC_PHS, QA_PHS/QB_PHS/QC_PHS):

1. 读出标准表显示的各相有功功率:P表台,转换成对应寄存器值P0  :

          P0=P表台/ Kp

2. 根据各相有功功率寄存器测量值:P测量,按如下公式计算相位寄存器值

有功相位寄存器公式:

     :3相4线公式(60度)

:3相3线公式(30度)

     (注:公式中 gain 为增益校正计算出的功率增益寄存器归一化值) 若≥0,则PA_PHS=*215; 若<0,则PA_PHS=*215+216        无功相位寄存器值与有功相等:       QA_PHS=PA_PHS       QB_PHS=PB_PHS       QC_PHS=PC_PHS                                  注意:1.各相增益及相位校正寄存器 计算完成后统一下载                   2.若需分段,请先设置好分段阈值(参照分段相位说明)                     先校额定点的增益及相位(中段),后是高段、低段;                     确保表台输出电流在正确的分段内,后参照: ” 确定各相功率相位参数”

5.2.2.3校正电压、电流

1.IB点根据各项的标准表显示电压、电流标准值               确定各项的电压、电流转换系数 Kv=U标准/U寄存器值     KI=I标准/U寄存器值                          实际转换公式:v=U寄存器*Kv                                        I=I寄存器*KI

5.2.3矢量法校表

    校正的电压、电流ADC通道的增益及相位

5.2.3.1确定基本参数

5.2.3.1.1电压、电流寄存器标准值及转换系数:

根据硬件电路取在额定条件下正常采样信号的正负20%范围作为电压、电流的标准点: 电压寄存器标准值 U标准=int[(Uv/0.8)*2^27]      :Uv 额定电压下采样信号  单位:V

电流寄存器标准值 I标准=int[(Ui/0.8)*2^27]      :Ui 额定电流下采样信号  单位:V             相应的电压转换系数:Kv=Un/U标准    :Un额定电压  单位:V             相应的电流转换系数:Ki=Ib/I标准    :Ib额定电流  单位:A

5.2.3.1.2高频脉冲常数值(Hfconst):

     HFConst=INT[(U标准/2^27)*(I标准/2^27)*3.6*106*fosc / (32*EC*Un*Ib)]      式中:       U标准: 电压寄存器标准值   I标准: 电流寄存器标准值   Fosc:晶振频率 8192000hz EC: 电表脉冲常数  imp/kwh Un:额定电压     单位:v Ib:标定电流           单位:A

5.2.3.1.3功率寄存器标准值(P标准)及功率转换系数:

P寄存器标准值= (32*EC*Un*Ib*231)* HFConst/ (3.6*106*fosc) Kp=( Un*Ib)/ P寄存器标准值

5.2.3.2 单点增益及相位校正

   3相4线:表台加合相Un  Ib  0.5L进行校正;   3相3线:表台加合相Un  Ib  1.0进行校正;(相电流与线电压夹角约30度)

5.2.3.2.1电压增益校正

  根据台体所加电压确定电压通道增益(GSUA  GSUB  GSUC)   GSUX=U0/(U*Kv)-1            :>0   GSUX=GSUX*2^15            :<0   GSUX=GSUX*2^15+2^16   U台体:   标准表显示电压值   单位:v   U寄存器:有效值寄存器测量值   GSUX:增益寄存器值

5.2.3.2.2电流增益校正

根据台体所加电流确定电压通道增益(GSIA  GSIB  GSIC)   GSIX=I0/(I*Ki)-1            :>0   GSIX=GSIX*2^15            :<0   GSIX=GSIX*2^15+2^16   I台体:   标准表显示电流值   单位:A   I寄存器:有效值寄存器测量值   GSIX:增益寄存器值

5.2.3.2.3相位校正

根据表台所加电压、电流夹角(标准表测量的准确值)以确定通道相位值(PHSUX  PHSIX) 校正公式:  PHSUB=80H-((REGYUB/224)*360o  -UB标准)/0.017578 PHSUC=80H-((REGYUC/224)*360o  - UC标准)/0.017578 PHSIA=80H-((REGYIA/224)*360o  -IA标准)/0.017578 PHSIB=80H-((REGYIB/224)*360o  -(REGYUB/224)*360 o- IB标准)/0.017578 PHSIC=80H-((REGYIC/224)*360o  -(REGYUC/224)*360 o- IC标准)/0.017578 式中:UB标准  B相电压与A相电压夹角    UC标准  C相电压与A相电压夹角      IA标准   A相电流与A相电压夹角      IB标准   B相电流与B相电压夹角      IC标准   C相电流与C相电压夹角

*备注:

1.若电压间的标准角度无法确定时,A、B、C相的电压角度可以不用校正.PHSUA/  PHSUB/ PHSUC 保持缺省值。         2.不须分段时,PHSIX的低字节有效/或 3个字节写相同值

3.若需要分段参照分段相位说明 PHSIX:  高字节对应大电流段                中间字节对应中电流段                低字节对应小电流段

5.3OFFSET校正

5.3.1有功功率offset校正

5%Ib点的功率值做为校正依据: 1)表台加5%Ib电流 Un,读出计量芯片的功率寄存器值,求10次平均得P,与标准表的功率值P0,计算功率offset值:

   PxOS=-[P-P0*(1/Kp)]/(1+GPx)                                  P:  芯片寄存器测量值平均值                                  P0: 标准表显示功率                                   Kp: 功率转换系数                          GPx:A/B/C功率增益归一化值

5.3.2电流offset校正

OFFSET校正是在外部噪声(PCB噪声,变压器噪声等等)较大,影响到小信号(如1%Ib)精度的情况下,提高小信号精度的一种有效手段。若外部噪声对小信号精度影响较小,该步骤可忽略。

电流OFFSET校正说明:

以A相电流有效值为例,IA_OS寄存器计算过程:

1)配置标准源,使U=Un、电流通道输入空载;

2)MCU取 IA寄存器值,暂存;

3)重复步骤2和3十一次,第一个数据可不要,MCU取后十个数据求平均得IAave;

4)求IAave的平方IAave2;取bit14~bit29,求其二进制反码,填入IA_OS寄存器bit15~bit0。

5) A相电流有效值offset校正结束  公式如下: IX_OS =-Ix_avreg2/ *214      式中:Ix_avreg 为(IA/IB/IC)某电流寄存器平均值

5.4分段相位说明

1 分段相位的应用是解决外部互感器的角差非线性问题,如互感器角差线性较好,则不需分段,只需要对低段相位寄存器写入即可,也可以高/中/低段相位寄存器写入相同的值

2根据互感器相角差的曲线确定分段点

2.1互感器厂商提供相角测试曲线

2.2测试初始状态下全量程范围的0.5L点误差,根据误差突变点确定,两个分段阈值 PRTH1X  PRTH2X(其中PRTHXL PRTHXH是分段点的回滞区,避免电流升降过程中的跳变  )        3分段阈值的设置原则        

3.1 PRTH1L ,PRTH1H,PRTH2L,PRTH2H必须由小到大顺序设置:

PRTH1L <PRTH1H<PRTH2L<PRTH2H  先PRTH1 最后PRTH2H

3.2  不需分段时:PRTH1L设为 0即可        

4分段校正时注意        

4.1必须确保所加电流处在正确的电流段上(大、中、小)

4.2对应关系:

4.2.1 功率相位

大电流段 ……(A/b/c)相(有功/无功)分段相位校正寄存器-高段   

中电流段 ……(A/b/c)相(有功/无功)分段相位校正寄存器-中段   

小电流段 ……(A/b/c)相(有功/无功)分段相位校正寄存器-低段   

4.2.2 电流通道相位   

大电流段 ……PHSIA/B/C 的高字节   

中电流段 ……PHSIA/B/C 的中字节

小电流段 ……PHSIA/B/C 的低字节


完整的Word格式文档51黑下载地址(共19页):
RN8302应用笔记-V1.1-20140719(1).doc (14.84 MB, 下载次数: 524)





分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏5 分享淘帖 顶2 踩
回复

使用道具 举报

沙发
ID:302655 发表于 2018-8-11 09:51 | 只看该作者
这个资料非常及时,我正在到处找
回复

使用道具 举报

板凳
ID:403168 发表于 2018-9-27 14:50 | 只看该作者
非常有价值,真正使用
回复

使用道具 举报

地板
ID:393586 发表于 2018-11-2 18:51 | 只看该作者
非常有价值
回复

使用道具 举报

5#
ID:479769 发表于 2019-2-23 20:00 | 只看该作者
这个很好的,谢谢楼主分享
回复

使用道具 举报

6#
ID:478865 发表于 2019-6-24 10:57 | 只看该作者
谢谢楼主分享
回复

使用道具 举报

7#
ID:484578 发表于 2019-10-9 13:50 | 只看该作者
看上去很复杂
回复

使用道具 举报

8#
ID:473540 发表于 2019-11-7 09:57 | 只看该作者
谢谢楼主分享!!!
回复

使用道具 举报

9#
ID:650009 发表于 2019-12-4 13:29 | 只看该作者

谢谢楼主分享
回复

使用道具 举报

10#
ID:211790 发表于 2019-12-5 11:34 | 只看该作者


谢谢楼主分享
回复

使用道具 举报

11#
ID:419956 发表于 2019-12-16 15:10 | 只看该作者
很杂,排版是乱的,估计是从某在线文档拷过去的,一些公式什么的都看不了,参考价值不大。
回复

使用道具 举报

12#
ID:30192 发表于 2020-1-10 09:06 | 只看该作者


谢谢楼主分享
回复

使用道具 举报

13#
ID:686118 发表于 2020-1-14 16:38 | 只看该作者
谢谢楼主分享
回复

使用道具 举报

14#
ID:143486 发表于 2020-3-4 15:42 | 只看该作者
没意思  没意思  没有什么价值
回复

使用道具 举报

15#
ID:314078 发表于 2020-4-5 20:51 | 只看该作者
谢谢楼主分享
,正在搞这块的设计。
回复

使用道具 举报

16#
ID:189441 发表于 2020-4-24 17:36 | 只看该作者
谢谢楼主分享
,正在搞这块的设计。
回复

使用道具 举报

17#
ID:749112 发表于 2020-5-11 15:39 | 只看该作者
现在分享都有门槛
回复

使用道具 举报

18#
ID:239633 发表于 2020-11-17 22:20 | 只看该作者
谢谢楼主无私奉献
回复

使用道具 举报

19#
ID:407904 发表于 2021-5-17 16:49 | 只看该作者
这个资料非常及时,我正在到处找
回复

使用道具 举报

20#
ID:368299 发表于 2021-6-24 20:54 | 只看该作者
很有用,不错的文档
回复

使用道具 举报

21#
ID:962065 发表于 2021-8-26 09:27 | 只看该作者
能分享一下原理图吗?
回复

使用道具 举报

22#
ID:985847 发表于 2021-11-29 10:45 | 只看该作者
谢谢楼主分享,目前还能在哪买到RN8213C?
回复

使用道具 举报

23#
ID:815931 发表于 2022-5-23 09:32 | 只看该作者
不给原理图给pcb截图有啥用
回复

使用道具 举报

24#
ID:276105 发表于 2022-7-20 14:58 | 只看该作者
不能说毫无价值,只能说一点毛用没有,乱七八糟
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表