找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2616|回复: 0
打印 上一主题 下一主题
收起左侧

基于51单片机的计算器设计c程序代码加proteus仿真

[复制链接]
跳转到指定楼层
楼主
可实现加减乘除各类运算,带有清零复位功能
仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)


程序代码如下:
#include<reg52.h>
#include<math.h>
#define uchar unsigned char
#define uint unsigned int
#define ulong unsigned long
sbit wela=P2^7;          //定义端口
sbit dula=P2^6;
long in1,in2,out,x;
int cnt,i,flag;
uchar code num[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};          //LED显示字模,共阴
void delay(uint xms)  //延时函数
{
        uint j;
        for(i=xms;i>0;i--)
        for(j=114;j>0;j--);
}
void display()         //显示函数声明
{
        uchar w1,w2,w3,w4,w5,w6,f=0;
        long y;
        if(x>=0)   //显示六位计时数
        {
                if(x>=1e6)
                {
                        P0=0xff;
                        wela=1;
                        P0=0xf7;
                        wela=0;
                        dula=1;
                        P0=num[12];
                        dula=0;
                        delay(2);

                        P0=0xff;
                        wela=1;
                        P0=0xef;
                        wela=0;
                        dula=1;
                        P0=0x3e;
                        dula=0;
                        delay(2);

                        P0=0xff;
                        wela=1;
                        P0=0xdf;
                        wela=0;
                        dula=1;
                        P0=num[0];
                        dula=0;
                        delay(2);

                        return;
        
                }
                w1=x%10;w2=x/10%10;w3=x/100%10;w4=x/1000%10;w5=x/10000%10;w6=x/100000%10;
                if(f==1||w6)
                {
                        f=1;
                        P0=0xff;
                        wela=1;
                        P0=0xfe;
                        wela=0;
                        dula=1;
                        P0=num[w6];
                        dula=0;
                        delay(2);
                }

                if(f==1||w5)
                {
                        f=1;
                        P0=0xff;
                        wela=1;
                        P0=0xfd;
                        wela=0;
                        dula=1;
                        P0=num[w5];
                        dula=0;
                        delay(2);
                }

                if(f==1||w4)
                {
                        f=1;
                        P0=0xff;
                        wela=1;
                        P0=0xfb;
                        wela=0;
                        dula=1;
                        P0=num[w4];
                        dula=0;
                        delay(2);
                }

                if(f==1||w3)
                {
                        f=1;
                        P0=0xff;
                        wela=1;
                        P0=0xf7;
                        wela=0;
                        dula=1;
                        P0=num[w3];
                        dula=0;
                        delay(2);
                }

                if(f==1||w2)
                {
                        f=1;
                        P0=0xff;
                        wela=1;
                        P0=0xef;
                        wela=0;
                        dula=1;
                        P0=num[w2];
                        dula=0;
                        delay(2);
                }

                P0=0xff;
                wela=1;
                P0=0xdf;
                wela=0;
                dula=1;
                P0=num[w1];
                dula=0;
                delay(2);
        }
        else
        {
                y=labs(x);
                if(y>=1e5)
                {
                        P0=0xff;
                        wela=1;
                        P0=0xf7;
                        wela=0;
                        dula=1;
                        P0=num[12];
                        dula=0;
                        delay(2);

                        P0=0xff;
                        wela=1;
                        P0=0xef;
                        wela=0;
                        dula=1;
                        P0=0x3e;
                        dula=0;
                        delay(2);

                        P0=0xff;
                        wela=1;
                        P0=0xdf;
                        wela=0;
                        dula=1;
                        P0=num[0];
                        dula=0;
                        delay(2);

                        return;
        
                }

                w1=y%10;w2=y/10%10;w3=y/100%10;w4=y/1000%10;w5=y/10000%10;
                if(f==1||w5)
                {
                        if(f==0)
                        {
                                P0=0xff;
                                wela=1;
                                P0=0xfe;
                                wela=0;
                                dula=1;
                                P0=0x40;
                                dula=0;
                                delay(2);
        
                        }
                        f=1;
                        P0=0xff;
                        wela=1;
                        P0=0xfd;
                        wela=0;
                        dula=1;
                        P0=num[w5];
                        dula=0;
                        delay(2);
                }

                if(f==1||w4)
                {
                        if(f==0)
                        {
                                P0=0xff;
                                wela=1;
                                P0=0xfd;
                                wela=0;
                                dula=1;
                                P0=0x40;
                                dula=0;
                                delay(2);
        
                        }

                        f=1;
                        P0=0xff;
                        wela=1;
                        P0=0xfb;
                        wela=0;
                        dula=1;
                        P0=num[w4];
                        dula=0;
                        delay(2);
                }

                if(f==1||w3)
                {
                        if(f==0)
                        {
                                P0=0xff;
                                wela=1;
                                P0=0xfb;
                                wela=0;
                                dula=1;
                                P0=0x40;
                                dula=0;
                                delay(2);
        
                        }

                        f=1;
                        P0=0xff;
                        wela=1;
                        P0=0xf7;
                        wela=0;
                        dula=1;
                        P0=num[w3];
                        dula=0;
                        delay(2);
                }

                if(f==1||w2)
                {
                        if(f==0)
                        {
                                P0=0xff;
                                wela=1;
                                P0=0xf7;
                                wela=0;
                                dula=1;
                                P0=0x40;
                                dula=0;
                                delay(2);
        
                        }

                        f=1;
                        P0=0xff;
                        wela=1;
                        P0=0xef;
                        wela=0;
                        dula=1;
                        P0=num[w2];
                        dula=0;
                        delay(2);
                }

                if(f==0)
                {
                        P0=0xff;
                        wela=1;
                        P0=0xef;
                        wela=0;
                        dula=1;
                        P0=0x40;
                        dula=0;
                        delay(2);
                }
                P0=0xff;
                wela=1;
                P0=0xdf;
                wela=0;
                dula=1;
                P0=num[w1];
                dula=0;
                delay(2);
        }
}
void keyscan()         //键盘扫描函数
{
        uchar temp;
        P1=0xfe;
        temp=P1;
        temp=temp&0xf0;
        if(temp!=0xf0)
        {
                delay(8);
                temp=P1;
                temp=temp&0xf0;
                if(temp!=0xf0)
                {
                        temp=P1;
                        switch(temp)
                        {
                                case 0xee:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+7;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+7;
                                                x=in2;
                                        }
                                }
                                break;
                                case 0xde:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+8;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+8;
                                                x=in2;
                                        }
                                }
                                break;
                                case 0xbe:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+9;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+9;
                                                x=in2;
                                        }
                                }
                                break;
                                case 0x7e:
                                if(cnt!=0&&flag==0)
                                {
                                        cnt=0;
                                        flag=4;
                                }
                                break;
                        }
                        while(temp!=0xf0)
                        {
                                temp=P1;
                                temp=temp&0xf0;
                    }

                }
        }
        
        P1=0xfd;
        temp=P1;
        temp=temp&0xf0;
        if(temp!=0xf0)
        {
                delay(8);
                temp=P1;
                temp=temp&0xf0;
                if(temp!=0xf0)
                {
                        temp=P1;
                        switch(temp)
                        {
                                case 0xed:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+4;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+4;
                                                x=in2;
                                        }
                                }
                                break;
                                case 0xdd:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+5;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+5;
                                                x=in2;
                                        }
                                }
                                break;
                                case 0xbd:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+6;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+6;
                                                x=in2;
                                        }
                                }

                                break;
                                case 0x7d:
                                if(cnt!=0&&flag==0)
                                {
                                        cnt=0;
                                        flag=3;
                                }
                                break;
                        }
                        while(temp!=0xf0)
                        {
                                temp=P1;
                                temp=temp&0xf0;
                    }

                }
        }

        P1=0xfb;
        temp=P1;
        temp=temp&0xf0;
        if(temp!=0xf0)
        {
                delay(8);        //消除抖动
                temp=P1;
                temp=temp&0xf0;
                if(temp!=0xf0)
                {
                        temp=P1;
                        switch(temp)
                        {
                                case 0xeb:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+1;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+1;
                                                x=in2;
                                        }
                                }
                                break;
                                case 0xdb:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+2;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+2;
                                                x=in2;
                                        }
                                }
                                break;
                                case 0xbb:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+3;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+3;
                                                x=in2;
                                        }
                                }
                                break;
                                case 0x7b:
                                if(cnt!=0&&flag==0)
                                {
                                        cnt=0;
                                        flag=2;
                                }
                                break;
                        }
                        while(temp!=0xf0)  
                        {
                                temp=P1;
                                temp=temp&0xf0;
                    }

                }
        }
        
        P1=0xf7;
        temp=P1;
        temp=temp&0xf0;
        if(temp!=0xf0)
        {
                delay(8);
                temp=P1;
                temp=temp&0xf0;
                if(temp!=0xf0)    //证明有键按下,if语句是为了让键盘松开
                {
                        temp=P1;
                        switch(temp)
                        {
                                case 0xe7:
                                cnt=0;
                                in1=0;
                                in2=0;
                                out=0;
                                flag=0;
                                x=0;
                                break;
                                case 0xd7:
                                if(cnt<6)
                                {
                                        cnt++;
                                        if(flag==0)
                                        {
                                                in1=in1*10+0;
                                                x=in1;
                                        }
                                        else
                                        {
                                                in2=in2*10+0;
                                                x=in2;
                                        }
                                }
                                break;
                                case 0xb7:           //如果得到符号位
                                switch(flag)
                                {
                                        case 1:
                                        out=in1+in2;        //加
                                        break;
                                        case 2:
                                        out=in1-in2;        //减
                                        break;
                                        case 3:
                                        out=in1*in2;        //乘
                                        break;
                                        case 4:
                                        if(in2)
                                        out=in1/in2;        //除
                                        break;
                                }
                                x=out;
                                in1=0;
                                in2=0;
                                cnt=0;
                                flag=0;
                                break;
                                case 0x77:
                                if(cnt!=0&&flag==0)
                                {
                                        cnt=0;
                                        flag=1;
                                }
                                break;
                        }
                        while(temp!=0xf0)   //等待按键松开
                        {
                                temp=P1;
                                temp=temp&0xf0;
                    }

                }
        }                                
}
void main()          //主函数
{
        while(1)
        {
                keyscan();
                display();
        }
}

全部资料51hei下载地址:
jisuanqi.zip (100.27 KB, 下载次数: 36)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表