找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2302|回复: 1
打印 上一主题 下一主题
收起左侧

VHDL错误:Warning: ELAB1_0026:x: There is no default binding for component

[复制链接]
跳转到指定楼层
楼主
10黑币
调用的时候一直Warning: ELAB1_0026: register_8_1.vhd : (48, 0): There is no default binding for component "dff". (Port "A" is not on the entity).
如果改用位置调用会报错:代码如下:library IEEE;
use IEEE.STD_LOGIC_1164.all;
use work.all;
entity register_8 is
  port(
   clk,clr,A: in STD_LOGIC;
   Z : out STD_LOGIC_VECTOR(7 downto 0)
      );
end register_8;
--}} End of automatically maintained section
architecture rtl of register_8 is
component dff
port(clk,clr,A:in STD_LOGIC;
Z : out STD_LOGIC);
end component;

signal s:STD_LOGIC_VECTOR(8 downto 0);
begin
  s(0)<=A;
  gl:for i IN 0 to 8 generate
   dffx:dff port map(clk=>clk,clr=>clr,s(i)=>d,s(i+1)=>q);
  end generate;
Z<=s(8 downto 1);
end rtl;
报错如下:
# Error: COMP96_0078: register_8_1.vhd : (48, 46): Unknown identifier "d".
# Error: COMP96_0078: register_8_1.vhd : (48, 56): Unknown identifier "q".
# Error: COMP96_0078: register_8_1.vhd : (48, 40): Unknown identifier "s".
# Error: COMP96_0078: register_8_1.vhd : (48, 48): Unknown identifier "s".
# Error: COMP96_0112: register_8_1.vhd : (48, 40): "s" does not match the formal name.
# Error: COMP96_0207: register_8_1.vhd : (48, 0): No actual specified for local port "A".

regist.png (30.2 KB, 下载次数: 40)

regist.png

dff.png (25.17 KB, 下载次数: 30)

dff.png
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:1080913 发表于 2023-5-30 16:08 | 只看该作者
问题出在哪里,解决了吗?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表