找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 3439|回复: 0
收起左侧

VHDL| array of integer 赋初值方法

[复制链接]
ID:642389 发表于 2019-11-15 08:50 | 显示全部楼层 |阅读模式
type bus is array (3 downto 0) of integer range 0 to 9;
signal keybus: bus := (
(0),(0),(0),(0)
);
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表