标题: 51单片机的电子密码锁仿真+程序 具有更改密码功能,密码存储 [打印本页]

作者: QEQWE123    时间: 2023-5-15 14:40
标题: 51单片机的电子密码锁仿真+程序 具有更改密码功能,密码存储
可具有更改密码功能,密码存储,显示屏1602功能
电子密码锁使用说明

一、    主要功能:
1.按键设置6位密码,输入密码若密码正确,则锁打开。显示open!
2.密码可以自己修改(6位密码),必须是锁打开时才能改密。为防止误操作,修改密码得输入两次。
3.若密码输入错误次数超过3次,蜂鸣器报警并且锁定键盘。
4.24C02有复位、掉电保存密码功能。
5.液晶屏亮度会随光线自动调整。
6.本设计有红外遥控的功能,可以通过红外遥控器输入密码操作锁的状态(数字键是对应的,C键是重设密码,中间的播放图标的按键是确认,后退箭头的按键是撤销键)
二、按键说明:(主板)
排列方式:1  2  3  A
  4  5  6  B
  7  8  9  C
  *  0  #  D
   ABC无定义、 *号键为取消当前操作、#号键为确认、D键为修改密码
三、调整说明:
1、    灰度调整:当焊接好后液晶屏可能会“无字”。这是调节液晶3脚与地之间的电位器阻值应为1.3k左右,液晶3脚与电源之间的电位器阻值应为8.7k左右。(如果是电阻,那就是1与3脚是1.5k,2与3脚是10k的电阻)
2、    自己焊接的电路,首次使用时输入:131420,对密码进行初始化,当显示:init password,证明密码初始化完成,此时的密码为:000000。然后可以改密了。(如密码忘记就再输入131420初始化,然后密码就是000000了,不要告诉别人哦~~~)
3、    按下 * 键或复位键,可以关锁并退出。
4、    当输入密码错误后,报警并锁定键盘3秒钟。
5、    修改密码:在开锁状态下,再次输入正确的密码并按下 # 键,此时听到两声提示,输入新的六位密码并按 D 键,再重复输入一次新密码并按 D ,会听到两声提示音,表示重设密码成功,内部保存新密码并存储。如两次输入的新密码不一样,则重设密码失败。
6、    祝您成功!

仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)


单片机源程序如下:
  1. #include <REG52.h>
  2. #include<intrins.h>
  3. #include<stdlib.h>
  4. #define LCM_Data  P0
  5. #define uchar unsigned char
  6. #define uint  unsigned int

  7. sbit lcd1602_rs=P2^7;
  8. //sbit lcd1602_rw=P2^6;
  9. sbit lcd1602_en=P2^6;

  10. sbit Scl=P2^0;                        //24C02串行时钟
  11. sbit Sda=P2^1;                        //24C02串行数据

  12. sbit ALAM = P3^6;                //报警       
  13. sbit KEY = P3^2;                //开锁


  14. bit  operation=0;                //操作标志位
  15. bit  pass=0;                        //密码正确标志
  16. bit  ReInputEn=0;                //重置输入充许标志       
  17. bit  s3_keydown=0;                //3秒按键标志位
  18. bit  key_disable=0;                //锁定键盘标志

  19. unsigned char countt0,second;        //t0中断计数器,秒计数器

  20. //解码变量
  21. unsigned char Im[4]={0x00,0x00,0x00,0x00};


  22. //全局变量
  23. uchar f;
  24. unsigned long m,Tc;
  25. unsigned char IrOK;


  26. unsigned char code a[]={0xFE,0xFD,0xFB,0xF7};                                                                                         //控盘扫描控制表

  27. unsigned char code start_line[]        = {"password:       "};
  28. unsigned char code name[]                  = {"===Coded Lock==="};                                                                                                //显示名称
  29. unsigned char code Correct[]         = {"     correct    "};                                                                                         //输入正确
  30. unsigned char code Error[]           = {"      error     "};                                                                                          //输入错误
  31. unsigned char code codepass[]        = {"      pass      "};
  32. unsigned char code LockOpen[]        = {"      open      "};                                                                                                //OPEN
  33. unsigned char code SetNew[]         = {"SetNewWordEnable"};
  34. unsigned char code Input[]           = {"input:          "};                                                                                                //INPUT
  35. unsigned char code ResetOK[]         = {"ResetPasswordOK "};
  36. unsigned char code initword[]        = {"Init password..."};
  37. unsigned char code Er_try[]                = {"error,try again!"};
  38. unsigned char code again[]                = {"input again     "};

  39. unsigned char InputData[6];                                                                                                                                //输入密码暂存区
  40. unsigned char CurrentPassword[6]={1,3,1,4,2,0};                                                                                                                 //当前密码值
  41. unsigned char TempPassword[6];
  42. unsigned char N=0;                                //密码输入位数记数
  43. unsigned char ErrorCont;                        //错误次数计数
  44. unsigned char CorrectCont;                        //正确输入计数
  45. unsigned char ReInputCont;                         //重新输入计数
  46. unsigned char code initpassword[6]={0,0,0,0,0,0};

  47. unsigned char code suiji_password[6];



  48. //=====================5ms延时==============================
  49. void Delay5Ms()
  50. {
  51.         unsigned int TempCyc = 5552;
  52.         while(TempCyc--);
  53. }       

  54. //===================400ms延时==============================
  55. void Delay400Ms()
  56. {
  57. unsigned char TempCycA = 5;
  58. unsigned int TempCycB;
  59. while(TempCycA--)
  60. {
  61.   TempCycB=7269;
  62.   while(TempCycB--);
  63. }
  64. }

  65. //=============================================================================================
  66. //================================24C02========================================================
  67. //=============================================================================================

  68. void mDelay(uint t) //延时
  69. {
  70.         uchar i;
  71.            while(t--)
  72.            {
  73.                    for(i=0;i<125;i++)
  74.                    {;}
  75.            }
  76. }
  77.    

  78. void Nop()                  //空操作
  79. {
  80.         _nop_();
  81.         _nop_();
  82.         _nop_();
  83.         _nop_();
  84. }


  85. /*起始条件*/

  86. void Start(void)
  87. {
  88.         Sda=1;
  89.         Scl=1;
  90.         Nop();
  91.         Sda=0;
  92.         Nop();
  93. }


  94. /*停止条件*/
  95. void Stop(void)
  96. {
  97.         Sda=0;
  98.         Scl=1;
  99.         Nop();
  100.         Sda=1;
  101.         Nop();
  102. }

  103. /*应答位*/
  104. void Ack(void)
  105. {
  106.         Sda=0;
  107.         Nop();
  108.         Scl=1;
  109.         Nop();
  110.         Scl=0;
  111. }

  112. /*反向应答位*/
  113. void NoAck(void)
  114. {
  115.         Sda=1;
  116.         Nop();
  117.         Scl=1;
  118.         Nop();
  119.         Scl=0;
  120. }       

  121. /*发送数据子程序,Data为要求发送的数据*/
  122. void Send(uchar Data)
  123. {
  124.            uchar BitCounter=8;
  125.            uchar temp;
  126.            do
  127.            {
  128.                    temp=Data;
  129.                    Scl=0;
  130.                    Nop();
  131.                    if((temp&0x80)==0x80)
  132.                    Sda=1;
  133.                    else
  134.                    Sda=0;
  135.                    Scl=1;
  136.                    temp=Data<<1;
  137.                    Data=temp;
  138.                    BitCounter--;
  139.            }
  140.            while(BitCounter);
  141.            Scl=0;
  142. }

  143. /*读一字节的数据,并返回该字节值*/
  144. uchar Read()
  145. {
  146.     uchar temp=0;
  147.         uchar temp1=0;
  148.         uchar BitCounter=8;
  149.         Sda=1;
  150.         do{
  151.         Scl=0;
  152.         Nop();
  153.         Scl=1;
  154.         Nop();
  155.         if(Sda)
  156.         temp=temp|0x01;
  157.         else
  158.         temp=temp&0xfe;
  159.         if(BitCounter-1)
  160.         {
  161.         temp1=temp<<1;
  162.         temp=temp1;
  163.         }
  164.         BitCounter--;
  165.         }
  166.         while(BitCounter);
  167.         return(temp);
  168.         }

  169. void WrToROM(uchar Data[],uchar Address,uchar Num)
  170. {
  171.   uchar i;
  172.   uchar *PData;
  173.   PData=Data;
  174.   for(i=0;i<Num;i++)
  175.   {
  176.   Start();
  177.   Send(0xa0);
  178.   Ack();
  179.   Send(Address+i);
  180.   Ack();
  181.   Send(*(PData+i));
  182.   Ack();
  183.   Stop();
  184.   mDelay(20);
  185.   }
  186. }

  187. void RdFromROM(uchar Data[],uchar Address,uchar Num)
  188. {
  189.   uchar i;
  190.   uchar *PData;
  191.   PData=Data;
  192.   for(i=0;i<Num;i++)
  193.   {
  194.   Start();
  195.   Send(0xa0);
  196.   Ack();
  197.   Send(Address+i);
  198.   Ack();
  199.   Start();
  200.   Send(0xa1);
  201.   Ack();
  202.   *(PData+i)=Read();
  203.   Scl=0;
  204.   NoAck();
  205.   Stop();
  206.   }
  207. }


  208. //==================================================================================================
  209. //=======================================LCD1602====================================================
  210. //==================================================================================================

  211. #define yi 0x80 //LCD第一行的初始位置,因为LCD1602字符地址首位D7恒定为1(100000000=80)
  212. #define er 0x80+0x40 //LCD第二行初始位置(因为第二行第一个字符位置地址是0x40)


  213. //----------------延时函数,后面经常调用----------------------
  214. void delay(uint xms)//延时函数,有参函数
  215. {
  216.         uint x,y;
  217.         for(x=xms;x>0;x--)
  218.          for(y=110;y>0;y--);
  219. }

  220. //--------------------------写指令---------------------------
  221. void write_1602com(uchar com)//****液晶写入指令函数****
  222. {
  223.         lcd1602_rs=0;//数据/指令选择置为指令
  224. //        lcd1602_rw=0; //读写选择置为写
  225.         P0=com;//送入数据
  226.         delay(1);
  227.         lcd1602_en=1;//拉高使能端,为制造有效的下降沿做准备
  228.         delay(1);
  229.         lcd1602_en=0;//en由高变低,产生下降沿,液晶执行命令
  230. }

  231. //-------------------------写数据-----------------------------
  232. void write_1602dat(uchar dat)//***液晶写入数据函数****
  233. {
  234.         lcd1602_rs=1;//数据/指令选择置为数据
  235. //        lcd1602_rw=0; //读写选择置为写
  236.         P0=dat;//送入数据
  237.         delay(1);
  238.         lcd1602_en=1; //en置高电平,为制造下降沿做准备
  239.         delay(1);
  240.         lcd1602_en=0; //en由高变低,产生下降沿,液晶执行命令
  241. }

  242. //-------------------------初始化-------------------------
  243. void lcd_init()
  244. {
  245.         write_1602com(0x38);//设置液晶工作模式,意思:16*2行显示,5*7点阵,8位数据
  246.         write_1602com(0x0c);//开显示不显示光标
  247.         write_1602com(0x06);//整屏不移动,光标自动右移
  248.         write_1602com(0x01);//清显示
  249. }
  250. //========================================================================================
  251. //=========================================================================================




  252. //==============将按键值编码为数值=========================
  253. unsigned char coding(unsigned char hh)         
  254. {
  255.         unsigned char k;

  256.                 switch(hh)
  257.                 {
  258.                         case (0): k=1;break;
  259.                         case (1): k=2;break;
  260.                         case (2): k=3;break;
  261.                         case (3): k='A';break;
  262.                         case (4): k=4;break;
  263.                         case (5): k=5;break;
  264.                         case (6): k=6;break;
  265.                         case (7): k='B';break;
  266.                         case (8): k=7;break;
  267.                         case (9): k=8;break;
  268.                         case (10): k=9;break;
  269.                         case (11): k='C';break;
  270.                         case (12): k='*';break;
  271.                         case (13): k=0;break;
  272.                         case (14): k='#';break;
  273.                         case (15): k='D';break;
  274.                 }


  275.         return(k);
  276. }




  277. unsigned char KeyMemory;

  278. //按键行定义
  279. sbit KeyLine_1        =        P1^7;
  280. sbit KeyLine_2        =        P1^5;
  281. sbit KeyLine_3        =        P1^3;
  282. sbit KeyLine_4        =        P1^1;
  283. //按键列定义        
  284. sbit Keylist_1        =        P1^0;       
  285. sbit Keylist_2        =        P1^2;       
  286. sbit Keylist_3        =        P1^4;       
  287. sbit Keylist_4        =        P1^6;       
  288. void KeyOut(unsigned char i)
  289. {
  290.         KeyLine_1 = 1;
  291.         KeyLine_2 = 1;
  292.         KeyLine_3 = 1;
  293.         KeyLine_4 = 1;
  294.         switch(i)
  295.         {
  296.                 case 0: KeyLine_1 = 0; break;
  297.                 case 1: KeyLine_2 = 0; break;
  298.                 case 2: KeyLine_3 = 0; break;
  299.                 case 3: KeyLine_4 = 0; break;
  300.         }       
  301. }

  302. unsigned char KeyIn(unsigned char i)
  303. {
  304.         static unsigned char a=1;
  305.         switch(i)
  306.         {
  307.                 case 0: a=Keylist_1; break;
  308.                 case 1: a=Keylist_2; break;
  309.                 case 2: a=Keylist_3; break;
  310.                 case 3: a=Keylist_4; break;
  311.         }       
  312.         return a;
  313. }
  314. //========================================================================
  315. // 函数: u8 update_key(void)                                                                                                       
  316. // 应用: key=u8 update_key();                        
  317. // 描述: 扫描并返回按下的按键值,                              
  318. // 参数:                                             
  319. // 返回: 按下的键值,0-15;无按下返回0xff;               
  320. // 版本: VER1.0                                       
  321. // 日期: 2013-4-1                                    
  322. // 备注:
  323. //========================================================================
  324. unsigned char keynum(void)
  325. {
  326.         unsigned char key_rt=0xff;//按键返回值
  327.         unsigned char i, j;
  328.         for(i = 0; i < 4; i++)             //i是输出口,依次置高电平
  329.         {
  330.                 KeyOut(i);
  331.                 for(j = 0; j < 4; j++)            //j是输入口,当键按下时导通被置为高电平  //在1路输出高电平的时候输入扫描,并储存
  332.                 {
  333.                         if(KeyIn(j) == 0)                //如果有按键按下
  334.                         {
  335.                                 Delay5Ms();
  336.                                 Delay5Ms();
  337.                                 if(KeyIn(j) == 0)                //如果有按键按下
  338.                                 {
  339.                                         KeyMemory=(1<<i)*16+(1<<j);
  340.                                 }
  341.                         }
  342.                         while(KeyIn(j) == 0);        //松手检测,假如有按键按下则等待,没有按下或松开则通过
  343.                 }
  344.         }
  345.         for(i = 0; i < 4; i++)         
  346.         {
  347.                 if((KeyMemory/16)>>i==0x01)
  348.                 {
  349.                         for(j = 0; j < 4; j++)           
  350.                         {       
  351.                                 if((KeyMemory%16)>>j==0x01)
  352.                                 {
  353.                                         KeyMemory=0;
  354.                                         key_rt=i*4+j;
  355.                                 }
  356.                         }
  357.                 }
  358.         }
  359.         return key_rt;
  360. }



  361. //=======================一声提示音,表示有效输入========================
  362. void OneAlam()
  363. {
  364.         ALAM=0;
  365.         Delay5Ms();
  366.     ALAM=1;
  367. }

  368. //========================二声提示音,表示操作成功========================
  369. void TwoAlam()
  370. {
  371.         ALAM=0;
  372.         Delay5Ms();
  373.     ALAM=1;
  374.     Delay5Ms();
  375.         ALAM=0;
  376.         Delay5Ms();
  377.     ALAM=1;
  378. }

  379. //========================三声提示音,表示错误========================
  380. void ThreeAlam()
  381. {
  382.         ALAM=0;
  383.         Delay5Ms();
  384.     ALAM=1;
  385.     Delay5Ms();
  386.         ALAM=0;
  387.         Delay5Ms();
  388.     ALAM=1;
  389.     Delay5Ms();
  390.         ALAM=0;
  391.         Delay5Ms();
  392.     ALAM=1;

  393. }


  394. //=======================显示提示输入=========================
  395. void DisplayChar()
  396. {
  397.         unsigned char i;
  398.         if(pass==1)
  399.         {
  400.                 write_1602com(er);
  401.                 for(i=0;i<16;i++)
  402.                 {
  403.                         write_1602dat(LockOpen[i]);       
  404.                 }
  405.         }
  406.         else
  407.         {
  408.                 if(N==0)
  409.                 {
  410.                         write_1602com(er);
  411.                         for(i=0;i<16;i++)
  412.                         {
  413.                                 write_1602dat(Error[i]);       
  414.                         }
  415.                 }
  416.                 else
  417.                 {
  418.                         write_1602com(er);
  419.                         for(i=0;i<16;i++)
  420.                         {
  421.                                 write_1602dat(start_line[i]);       
  422.                         }
  423.                 }
  424.         }
  425. }


  426. //========================重置密码==================================================
  427. //==================================================================================
  428. void ResetPassword()
  429. {
  430.         unsigned char i;       
  431.         unsigned char j;
  432.         if(pass==0)
  433.         {
  434.                 pass=0;
  435.                 DisplayChar();
  436.                 ThreeAlam();
  437.         }
  438.         else
  439.         {
  440.             if(ReInputEn==1)
  441.                 {
  442.                         if(N==6)
  443.                         {
  444.                                 ReInputCont++;                               
  445.                                 if(ReInputCont==2)
  446.                                 {
  447.                                         for(i=0;i<6;)
  448.                                         {
  449.                                                 if(TempPassword[i]==InputData[i])        //将两次输入的新密码作对比
  450.                                                         i++;
  451.                                                 else
  452.                                                 {
  453.                                                         write_1602com(er);
  454.                                                         for(j=0;j<16;j++)
  455.                                                         {
  456.                                                                 write_1602dat(Error[j]);       
  457.                                                         }
  458.                                                         ThreeAlam();                        //错误提示       
  459.                                                         pass=0;
  460.                                                         ReInputEn=0;                        //关闭重置功能,
  461.                                                         ReInputCont=0;
  462.                                                         DisplayChar();
  463.                                                         break;
  464.                                                 }
  465.                                         }
  466.                                         if(i==6)
  467.                                         {
  468.                                                 write_1602com(er);
  469.                                                 for(j=0;j<16;j++)
  470.                                                 {
  471.                                                         write_1602dat(ResetOK[j]);       
  472.                                                 }

  473.                                                 TwoAlam();                                //操作成功提示
  474.                                                  WrToROM(TempPassword,0,6);                //将新密码写入24C02存储
  475.                                                 ReInputEn=0;
  476.                                         }
  477.                                         ReInputCont=0;
  478.                                         CorrectCont=0;
  479.                                 }
  480.                                 else
  481.                                 {
  482.                                         OneAlam();
  483.                                         write_1602com(er);
  484.                                         for(j=0;j<16;j++)
  485.                                         {
  486.                                                 write_1602dat(again[j]);       
  487.                                         }                                       
  488.                                         for(i=0;i<6;i++)
  489.                                         {
  490.                                                 TempPassword[i]=InputData[i];                //将第一次输入的数据暂存起来                                               
  491.                                         }
  492.                                 }

  493.                         N=0;                                                //输入数据位数计数器清零
  494.                    }
  495.             }
  496.         }

  497. }



  498. //=======================输入密码错误超过三过,报警并锁死键盘======================
  499. void Alam_KeyUnable()
  500. {
  501.         P1=0x00;
  502.         {
  503.                 ALAM=~ALAM;
  504.                 Delay5Ms();
  505.         }
  506. }


  507. //=======================取消所有操作============================================
  508. void Cancel()
  509. {       
  510.         unsigned char i;
  511.         unsigned char j;
  512.         write_1602com(er);
  513.         for(j=0;j<16;j++)
  514.         {
  515.                 write_1602dat(start_line[j]);       
  516.         }
  517.         TwoAlam();                                //提示音
  518.         for(i=0;i<6;i++)
  519.         {
  520.                 InputData[i]=0;
  521.         }
  522.         KEY=1;                                        //关闭锁
  523.         ALAM=1;                                        //报警关
  524.         operation=0;                        //操作标志位清零
  525.         pass=0;                                        //密码正确标志清零
  526.         ReInputEn=0;                        //重置输入充许标志清零
  527.         CorrectCont=0;                        //密码正确输入次数清零
  528.         ReInputCont=0;                        //重置密码输入次数清零
  529.         s3_keydown=0;
  530.         key_disable=0;
  531.         N=0;                                        //输入位数计数器清零
  532. }


  533. //==========================确认键,并通过相应标志位执行相应功能===============================
  534. void Ensure()
  535. {       
  536.         unsigned char i,j;
  537.         RdFromROM(CurrentPassword,0,6);                                         //从24C02里读出存储密码
  538.     if(N==6)
  539.         {
  540.             if(ReInputEn==0)                                                        //重置密码功能未开启
  541.                 {
  542.                         for(i=0;i<6;)
  543.                            {                                       
  544.                                 if(CurrentPassword[i]==InputData[i])
  545.                                 {
  546.                                         i++;
  547.                                 }
  548.                                 else
  549.                                 {
  550.                                         i=7;                       
  551.                                         ErrorCont++;
  552.                                         if(ErrorCont>=3&&KEY==1)                        //错误输入计数达三次时,报警并锁定键盘
  553.                                         {
  554.                                                 write_1602com(er);
  555.                                                 for(i=0;i<16;i++)
  556.                                                 {
  557.                                                         write_1602dat(Error[i]);       
  558.                                                 }
  559.                                                 Alam_KeyUnable();
  560.                                                 TR0=1;                                //开启定时
  561.                                                 key_disable=1;                        //锁定键盘
  562.                                                 pass=0;
  563.                                                 break;       
  564.                                         }
  565.                                 }  
  566.                         }

  567.                         if(i==6)
  568.                         {
  569.                                 CorrectCont++;
  570.                                 if(CorrectCont==1)                                //正确输入计数,当只有一次正确输入时,开锁,
  571.                                 {
  572.                                         write_1602com(er);
  573.                                         for(j=0;j<16;j++)
  574.                                         {
  575.                                                 write_1602dat(LockOpen[j]);       
  576.                                         }
  577.                                         TwoAlam();                        //操作成功提示音
  578.                                         ErrorCont=0;
  579.                                         KEY=0;                                                                                        //开锁
  580.                                         pass=1;                                                                                        //置正确标志位
  581.                                         TR0=1;                                                                                        //开启定时
  582.                                         for(j=0;j<6;j++)                                                                //将输入清除
  583.                                         {
  584.                                                 InputData[i]=0;
  585.                                         }
  586.                                 }       
  587.                                 else                                                                                                //当两次正确输入时,开启重置密码功能
  588.                                 {
  589.                                         write_1602com(er);
  590.                                         for(j=0;j<16;j++)
  591.                                         {
  592.                                                 write_1602dat(SetNew[j]);       
  593.                                         }
  594.                                         TwoAlam();                                                                            //操作成功提示
  595.                                         ReInputEn=1;                                                                        //允许重置密码输入
  596.                                         CorrectCont=0;                                                                        //正确计数器清零
  597.                                 }
  598.                           }
  599.        
  600.                         else                        //=========================当第一次使用或忘记密码时可以用131420对其密码初始化============
  601.                         {
  602.                                 if((InputData[0]==1)&&(InputData[1]==3)&&(InputData[2]==1)&&(InputData[3]==4)&&(InputData[4]==2)&&(InputData[5]==0))
  603.                                    {
  604.                                         WrToROM(initpassword,0,6);                                 //强制将初始密码写入24C02存储
  605.                                         write_1602com(er);
  606.                                         for(j=0;j<16;j++)
  607.                                         {
  608.                                                 write_1602dat(initword[j]);       
  609.                                         }
  610.                                         TwoAlam();
  611.                                         Delay400Ms();
  612.                                         TwoAlam();
  613.                                         N=0;
  614.                                 }
  615.                                 else
  616.                                 {
  617.                                         write_1602com(er);
  618.                                         for(j=0;j<16;j++)
  619.                                         {
  620.                                                 write_1602dat(Error[j]);       
  621.                                         }
  622.                                         ThreeAlam();                                                                                //错误提示音
  623.                                         pass=0;       
  624.                                 }
  625.                         }
  626.                 }

  627.                 else                                                                                        //当已经开启重置密码功能时,而按下开锁键,
  628.                 {
  629.                         write_1602com(er);
  630.                         for(j=0;j<16;j++)
  631.                         {
  632.                                 write_1602dat(Er_try[j]);       
  633.                         }
  634.                         ThreeAlam();
  635.                 }
  636.         }

  637.         else
  638.         {
  639.                 write_1602com(er);
  640.                 for(j=0;j<16;j++)
  641.                 {
  642.                         write_1602dat(Error[j]);       
  643.                 }

  644.                 ThreeAlam();                                                                                //错误提示音
  645.                 pass=0;       
  646.         }
  647.        
  648.         N=0;                                                                                                        //将输入数据计数器清零,为下一次输入作准备

  649.         operation=1;
  650. }


  651. //==============================主函数===============================
  652. void main()
  653. {
  654.         unsigned char KEY,NUM;
  655.         unsigned char i,j,m;
  656.        
  657.         P1=0xFF;
  658.         EA=1;
  659.         TMOD=0x11;
  660.         IT1=1;//下降沿有效
  661.         EX1=1;//外部中断1开
  662.           
  663.         TH0=0;//T0赋初值
  664.         TL0=0;
  665.         TR0=0;//t0开始计时
  666.         TL1=0xB0;
  667.         TH1=0x3C;
  668. ……………………

  669. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

Keil代码与Proteus仿真下载:
六位电子密码锁.rar (284.01 KB, 下载次数: 52)









欢迎光临 (http://www.51hei.com/bbs/) Powered by Discuz! X3.1