找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 5755|回复: 4
打印 上一主题 下一主题
收起左侧

智能小车学习进修 激光引导 循迹 遥控原理图与程序

[复制链接]
跳转到指定楼层
楼主
希望能得到学习与交流,大家有什么意见可以一起交流,含有我做的几个项目的完整原理图与单片机源码.


单片机源程序如下:
  1. //******小车程序******

  2. #include"stc12c5a.h"
  3. #include <intrins.h>

  4. #define uchar unsigned char
  5. #define uint unsigned int

  6. uchar sys_mod = 't';//'t':循迹模式, 'r':遥控模式, 'l',激光引导模式

  7. //*******NRF24L01******
  8. uchar idata RxBuf[16];        //接收缓存 data区满 存入idata区
  9. uchar idata TxBuf[16];        //发送缓存
  10. //******0:系统模式-- ‘t’:循迹模式,‘r’:遥控模式,'l':激光模式
  11. //******1: 时间高8位
  12. //******2: 时间低8位
  13. //******3: ADC4
  14. //******4: ADC5
  15. //******5: 感光板上最小电压值
  16. //******6: 感光板上激光打到的位置
  17. //******7: 行驶步骤高8位
  18. //******8: 行驶步骤低8位
  19. //******9: 硬币数量
  20. //*****10: 激光模块上步进电机转过的角度高8位
  21. //*****11: 激光模块上步进电机转过的角度低8位
  22. //*****12: addone变量 NRF24L01每发送一次数据,addone自加1,接收方判断发送方是否离线的一个标志
  23.                    //addone在一定时间内都保持不变说明发送方离线
  24. //*****13:未定义

  25. #define LED P36                //led灯接P3.6
  26. #define SPK P37                //蜂鸣器接P3.7
  27. //*********** LCD ************
  28. #define RS P44
  29. #define RW P45
  30. #define LCDEN P46
  31. #define LCD_DATA P0                //P0口接LCD数据口
  32. #define LCD_BUSY P07        //lcd1602忙碌标志位

  33. uchar idata lcd_code[10];//用来标记lcd1602 什么时候清显示  每个页面都设一个code,code不想同时清显示
  34.                        
  35. //**********                红外探头及行进方式宏定义        ***************
  36. #define PROBE_L                P22                //左侧红外探头        白色区域低电平         黑色高电平
  37. #define PROBE_M                P21                //中间红外探头
  38. #define PROBE_R                P20                //右侧红外探头
  39. #define LEFT_Z                 P30          //左轮正极
  40. #define LEFT_F                 P31                //左轮负极
  41. #define RIGHT_Z         P34          //右轮正极
  42. #define RIGHT_F                P35                //右轮负极
  43. #define LEFT_GO                {        LEFT_Z = 1;LEFT_F = 0;}                //左轮向前转                                               
  44. #define LEFT_BACK        {        LEFT_Z = 0;LEFT_F = 1;}                //左轮向后转
  45. #define LEFT_STOP        {        LEFT_Z = 0;LEFT_F = 0;}                //左轮停止                                               
  46. #define RIGHT_GO        {        RIGHT_Z = 1;RIGHT_F = 0;}        //右轮向前转                                               
  47. #define RIGHT_BACK        {        RIGHT_Z = 0;RIGHT_F = 1;}        //右轮向后转
  48. #define        RIGHT_STOP        {        RIGHT_Z = 0;RIGHT_F = 0;}        //右轮停止
  49. //****************************************************************
  50. //uchar left_mod[5] = "STOP";                //左轮转动方式        用于在lcd上显示
  51. //uchar right_mod[5] = "STOP";                //右轮转动方式        用于在lcd上显示
  52. uchar probe_before = 's';                        //上一次探头的状态        's':停止        'l':左转 'g':前进 'r':右转
  53. uchar step = 1;                                //第?步 0表示检测到硬币
  54. uchar num_coin = 0;                        //硬币数量
  55. uchar see_coin = 0;                        //0:未检测到硬币          1:检测到硬币
  56. uchar flag_see_coin = 0;        //0:刚检测到硬币         1:不是刚检测到         刚检测到硬币要为t1_flag_see_coin 置零
  57. uchar change_line = 1;                //进入内圈的步骤
  58. uchar times_probe_l = 0;        //左探头探测到黑带的次数
  59. uchar times_probe_r = 0;        //右探头探测到黑带的次数
  60. uchar change_line_mod = '?';//进入内圈的方式 是左转还是右转

  61. #define xs  8                                 //系数,1表示占空比再乘以0.1,设置合适 <=8 可安全使用12V的电池

  62. //**************** ADC *********************
  63.                
  64. uchar idata adc5[49];                 //data区满 存到idata
  65. uchar times_adc = 0;                //adc转换完成的次数
  66. uchar num_vmin = 0;                        //电压最小的光敏电阻的编号 0~48(横向加1 纵向加7)
  67. uchar num_vmin_before = 24;        //激光脱离前打到的点 第3行 第3列为停止的点

  68. uint volt = 0;
  69. //***********        CD4051通道         *********
  70. #define SW_CH         P23                 //行扫描a b c        (选通行接地)
  71. #define SW_BH         P24
  72. #define SW_AH         P25
  73. #define SW_CL        P26                 //列扫描a b c        (选通列输出电压值给ADC)
  74. #define SW_BL        P27
  75. #define SW_AL        P32       

  76. //***************************************
  77. uint angle = 0;                //步进电机转过的角度

  78. //******************* 定时器变量 ***********************
  79. uint t0_flag = 0;                        //定时器0进入中断的次数
  80. uint t1_flag = 0;                        //定时器1进入中断的次数
  81. uint t1_flag_add = 0;                //为addone = addone_b 定时,即为与发送端失联时间定时
  82. uint t1_flag_time = 0;                //系统时间中断次数 1000次为1s
  83. uint t1_flag_see_coin = 0;        //用于在检测到硬币时 停车2秒 和声光报警功能
  84. uint t1_flag_laserout = 0;        //为激光脱离感光板定时
  85. uchar flag_laserout = 0;        //标记是否刚脱离 0:刚脱离,1:已经脱离  刚脱离置零t1_flag_laserout

  86. uchar t0_h;
  87. uchar t0_l;
  88. uchar t1_h;
  89. uchar t1_l;
  90. uint time_of_move = 0;        //小车移动总时间 单位s

  91. //*****************************************延时函数***************************************
  92. void delayms(uint ms)//延时?个 ms
  93. {
  94.     unsigned char a,b;
  95.         while(ms--)
  96.         {
  97.             for(b=64;b>0;b--)           // 仅作为粗略延时 中断繁忙时差距很大
  98.                 for(a=45;a>0;a--);
  99.         }
  100. }
  101. void delayus(uint us)
  102. {
  103.         for(;us >0;us--)
  104.         {       
  105.                 _nop_();_nop_();_nop_();_nop_();_nop_();_nop_();
  106.                 _nop_();_nop_();_nop_();_nop_();_nop_();_nop_(); //stc12c5a不分频 12MHz 下12个指令周期为1us
  107.         }
  108. }
  109. //**********字符串复制函数**********
  110. void string_copy(uchar *target,uchar *source)//字符串复制 target:目标 source:源
  111. {
  112.         uchar i = 0;

  113.         for(i = 0;source[i] != '\0';i++)//注意target的长度 无保护措施!
  114.         {
  115.                 target[i] = source[i];       
  116.         }
  117.         target[i] = '\0';
  118. }
  119. //**********字符串比较函数**********
  120. uchar string_cmp(uchar *target,uchar *source)//字符串比较 target:目标 source:源
  121. {
  122.         uchar revalue;
  123.         uchar i = 0;

  124.         for(i = 0;target[i] != '\0' && source[i] != '\0';i++)  //两个都不等于'\0'才执行 出现一个等于'\0'就跳出
  125.         {
  126.                 if(target[i] == source[i])
  127.                 {       
  128.                         revalue = 1;
  129.                 }
  130.                 else
  131.                 {
  132.                         revalue = 0;
  133.                         break;
  134.                 }               
  135.         }
  136.         if(revalue == 1)
  137.         {
  138.                 if(target[i] == '\0' && source[i] == '\0')
  139.                         revalue = 1;
  140.                 else
  141.                         revalue = 0;       
  142.         }
  143.         return(revalue);
  144. }
  145. //****************************************NRF24L01 IO端口定义*********************************
  146. #define          CE                   P10
  147. #define          SCK                  P11
  148. #define                MISO                  P12
  149. #define         CSN                 P16
  150. #define         MOSI                  P17
  151. //#define         IRQ                  P32        //以下程序IRQ不显示中中断
  152. //*****************************NRF24L01的接收和发送地址***************************************
  153. #define TX_ADR_WIDTH    5   // 5个字节的TX地址长度
  154. #define RX_ADR_WIDTH    5   // 5个字节的RX地址长度
  155. #define TX_PLOAD_WIDTH  16  // ?个字节的TX数据长度
  156. #define RX_PLOAD_WIDTH  16  // ?个字节的RX数据长度
  157. uchar const TX_ADDRESS[TX_ADR_WIDTH]= {0x34,0x43,0x10,0x10,0x01};        //本地地址
  158. uchar const RX_ADDRESS[RX_ADR_WIDTH]= {0x34,0x43,0x10,0x10,0x01};        //接收地址
  159. //***************************************NRF24L01寄存器指令**********************************
  160. #define READ_REG        0x00          // 读寄存器
  161. #define WRITE_REG       0x20         // 写寄存器
  162. #define RD_RX_PLOAD     0x61          // 读取接收数据
  163. #define WR_TX_PLOAD     0xA0          // 写待发数据
  164. #define FLUSH_TX        0xE1         // 冲洗发送 FIFO
  165. #define FLUSH_RX        0xE2          // 冲洗接收 FIFO
  166. #define REUSE_TX_PL     0xE3          // 定义重复装载数据
  167. #define NOP             0xFF          // 保留
  168. //*************************************SPI(nRF24L01)寄存器地址*****************************
  169. #define CONFIG          0x00          // 配置收发状态,CRC校验模式以及收发状态响应方式
  170. #define EN_AA           0x01          // 自动应答功能设置
  171. #define EN_RXADDR       0x02          // 可用信道设置
  172. #define SETUP_AW        0x03          // 收发地址宽度设置
  173. #define SETUP_RETR      0x04          // 自动重发功能设置
  174. #define RF_CH           0x05          // 工作频率设置
  175. #define RF_SETUP        0x06          // 发射速率、功耗功能设置
  176. #define STATUS          0x07          // 状态寄存器
  177. #define OBSERVE_TX      0x08          // 发送监测功能
  178. #define CD              0x09          // 地址检测           
  179. #define RX_ADDR_P0      0x0A          // 频道0接收数据地址
  180. #define RX_ADDR_P1      0x0B          // 频道1接收数据地址
  181. #define RX_ADDR_P2      0x0C          // 频道2接收数据地址
  182. #define RX_ADDR_P3      0x0D          // 频道3接收数据地址
  183. #define RX_ADDR_P4      0x0E          // 频道4接收数据地址
  184. #define RX_ADDR_P5      0x0F          // 频道5接收数据地址
  185. #define TX_ADDR         0x10          // 发送地址寄存器
  186. #define RX_PW_P0        0x11          // 接收频道0接收数据长度
  187. #define RX_PW_P1        0x12          // 接收频道0接收数据长度
  188. #define RX_PW_P2        0x13          // 接收频道0接收数据长度
  189. #define RX_PW_P3        0x14          // 接收频道0接收数据长度
  190. #define RX_PW_P4        0x15          // 接收频道0接收数据长度
  191. #define RX_PW_P5        0x16          // 接收频道0接收数据长度
  192. #define FIFO_STATUS     0x17          // FIFO栈入栈出状态寄存器设置

  193. uchar SPI_RW_Reg(uchar reg, uchar value);
  194. uchar SPI_Write_Buf(uchar reg, uchar *pBuf, uchar uchars);

  195. //****************************************状态标志****************************************
  196. uchar         bdata sta;
  197. sbit        RX_DR        =sta^6;
  198. sbit        TX_DS        =sta^5;
  199. sbit        MAX_RT        =sta^4;
  200. //********************************NRF24L01初始化******************************************
  201. void init_NRF24L01()
  202. {
  203.     delayus(100);
  204.         CE=0;    // 片选使能
  205.         CSN=1;   // SPI使能
  206.         SCK=0;   // SPI时钟拉低
  207.         SPI_Write_Buf(WRITE_REG + TX_ADDR, TX_ADDRESS, TX_ADR_WIDTH);    //写本地地址       
  208.         SPI_Write_Buf(WRITE_REG + RX_ADDR_P0, RX_ADDRESS, RX_ADR_WIDTH); //写接收端地址
  209.         SPI_RW_Reg(WRITE_REG + EN_AA, 0x01);                             //通道0自动应答         
  210.         SPI_RW_Reg(WRITE_REG + EN_RXADDR, 0x01);                         //允许接收地址频道0
  211.         SPI_RW_Reg(WRITE_REG + RF_CH, 0x32);                             //设置信道工作频率,收发必须一致
  212.         SPI_RW_Reg(WRITE_REG + RX_PW_P0, RX_PLOAD_WIDTH);                //设置接收数据长度
  213.         SPI_RW_Reg(WRITE_REG + RF_SETUP, 0x0f);                                    //设置发射速率为2MHZ,发射功率为最大值0dB       
  214.         SPI_RW_Reg(WRITE_REG + CONFIG, 0x7c);                                                          //IRQ引脚不显示中断 掉电模式 1~16位CRC校验
  215. }
  216. //****************************************************************************************************
  217. //*函数:uint SPI_RW(uint uchar)
  218. //*功能:NRF24L01的SPI写时序
  219. //****************************************************************************************************
  220. uchar SPI_RW(uchar num)
  221. {
  222.         uchar bit_ctr;
  223.            for(bit_ctr=0;bit_ctr<8;bit_ctr++)  // output 8-bit
  224.            {
  225.                 MOSI = (num & 0x80);            // output 'uchar', MSB to MOSI
  226.                 num = (num << 1);               // shift next bit into MSB..
  227.                 SCK = 1;                        // Set SCK high..
  228.                 num |= MISO;                               // capture current MISO bit
  229.                 SCK = 0;                                // ..then set SCK low again
  230.            }
  231.     return(num);                                   // return read uchar
  232. }
  233. //****************************************************************************************************
  234. //函数:uchar SPI_Read(uchar reg)
  235. //功能:NRF24L01的SPI时序
  236. //****************************************************************************************************
  237. uchar SPI_Read(uchar reg)
  238. {
  239.         uchar reg_val;
  240.         CSN = 0;                // CSN low, initialize SPI communication...
  241.         SPI_RW(reg);            // Select register to read from..
  242.         reg_val = SPI_RW(0);    // ..then read registervalue
  243.         CSN = 1;                // CSN high, terminate SPI communication
  244.         return(reg_val);        // return register value
  245. }
  246. //****************************************************************************************************
  247. //*功能:NRF24L01读写寄存器函数
  248. //****************************************************************************************************
  249. uchar SPI_RW_Reg(uchar reg, uchar value)
  250. {
  251.         uchar status;
  252.         CSN = 0;                   // CSN low, init SPI transaction
  253.         status = SPI_RW(reg);      // select register
  254.         SPI_RW(value);             // ..and write value to it..
  255.         CSN = 1;                   // CSN high again
  256.         return(status);            // return nRF24L01 status uchar
  257. }
  258. //****************************************************************************************************
  259. uchar SPI_Read_Buf(uchar reg, uchar *pBuf, uchar bytes)
  260. {
  261.         uchar status,byte_ctr;
  262.         CSN = 0; // Set CSN low, init SPI tranaction
  263.         status = SPI_RW(reg); // Select register to write to and read status byte
  264.         for(byte_ctr=0;byte_ctr<bytes;byte_ctr++)
  265.         pBuf[byte_ctr] = SPI_RW(0); // Perform SPI_RW to read byte from nRF24L01
  266.         CSN = 1; // Set CSN high again
  267.         return(status); // return nRF24L01 status byte
  268. }
  269. //*********************************************************************************************************
  270. //*函数:uint SPI_Write_Buf(uchar reg, uchar *pBuf, uchar uchars)
  271. //*功能: 用于写数据:为寄存器地址,pBuf:为待写入数据地址,uchars:写入数据的个数
  272. //*********************************************************************************************************
  273. uchar SPI_Write_Buf(uchar reg, uchar *pBuf, uchar uchars)
  274. {
  275.         uchar status,uchar_ctr;
  276.        
  277.         CSN = 0;            //SPI使能      
  278.         status = SPI_RW(reg);   
  279.         for(uchar_ctr=0; uchar_ctr<uchars; uchar_ctr++) //
  280.                 SPI_RW(*pBuf++);
  281.         CSN = 1;           //关闭SPI
  282.         return(status);     
  283. }
  284. //********** nrf收发程序 **********
  285. void nrf_RxTx(uchar mod_nrf,uchar *buff) //NRF24L01收发程序       
  286. {                       
  287.         static uchar mod_nrf_b;//static 地址不释放

  288.         //******进入发射模式******
  289.         if(mod_nrf == 't')
  290.         {
  291.                 if(mod_nrf_b != 't')
  292.                 {       
  293.                         mod_nrf_b = 't';
  294.                         CE = 0;
  295.                         SPI_RW_Reg(WRITE_REG+STATUS,0xff);         //清除中断标志
  296.                         SPI_RW_Reg(FLUSH_TX,0x00);                        //清除TX_FIFO寄存器
  297.                         SPI_RW_Reg(WRITE_REG + CONFIG,0x7e);//IRQ引脚不显示中断 上电 发射模式  1~16CRC校验
  298.                         CE = 1;
  299.                         delayus(130);//从CE = 0 到 CE = 1;即待机模式到收发模式,需要最大130us                  
  300.                 }
  301.                
  302.         //******发送数据******
  303.                 CE = 0;                        //StandBy I模式       
  304.                 SPI_Write_Buf(WRITE_REG + RX_ADDR_P0, TX_ADDRESS, TX_ADR_WIDTH); // 装载接收端地址
  305.                 SPI_Write_Buf(WR_TX_PLOAD,buff,TX_PLOAD_WIDTH);                          // 装载数据
  306.                 CE = 1;                 //置高CE激发数据发送
  307.                 delayus(130);//从CE = 0 到 CE = 1;即待机模式到收发模式,需要最大130us
  308.                
  309.                 delayus(100); //给发送数据一点时间 发送延时可以比接收少
  310.                 sta = SPI_Read(STATUS);//读取状态寄存器的值
  311.                 SPI_RW_Reg(WRITE_REG+STATUS,sta);//清除对应的中断
  312.                                                
  313.                 if(TX_DS == 1)//发送成功再清除tx fifo寄存器
  314.                 {       
  315.                         CE = 0;                       
  316.                         SPI_RW_Reg(FLUSH_TX,0x00); //清除tx fifo寄存器        //********重要*********
  317.                         CE = 1;
  318.                 }                                                                                       
  319.         }
  320.         //******进入接收模式******
  321.         else if(mod_nrf == 'r')//接收模式
  322.         {
  323.                 if(mod_nrf_b != 'r')
  324.                 {
  325.                         mod_nrf_b = 'r';
  326.                         CE = 0;
  327.                         SPI_RW_Reg(WRITE_REG+STATUS,0xff);        //清除中断标志
  328.                         SPI_RW_Reg(FLUSH_RX,0x00);                         //清除RX_FIFO寄存器
  329.                         SPI_RW_Reg(WRITE_REG + CONFIG, 0x7f);//IRQ引脚不显示中断 上电 接收模式   1~16CRC校验   
  330.                         CE = 1;
  331.                         delayus(130);//从CE = 0 到 CE = 1;即待机模式到收发模式,需要最大130us
  332.                 }               
  333.                 delayus(500); //不能少 值可调 给接收数据一点时间
  334.                 sta = SPI_Read(STATUS);
  335.                 SPI_RW_Reg(WRITE_REG+STATUS,sta);   
  336.                 if(RX_DR == 1)   
  337.                 {
  338.                         CE = 0;  
  339.                         SPI_Read_Buf(RD_RX_PLOAD,buff,RX_PLOAD_WIDTH);//读取数据 存入数组
  340.                         SPI_RW_Reg(FLUSH_RX,0x00);//清除rx fifo寄存器        数据不抖动
  341.                         CE = 1;
  342.                 }                 
  343.          }
  344. }

  345. //************* 外部中断 *********************
  346. void int1() interrupt 2         //检测到硬币时发生中断 P3.3引脚
  347. {       
  348.         while(1)//检测到硬币时,即时冲出赛道也能重新回去       
  349.         {
  350.                 if(PROBE_L == 1 && PROBE_M == 0 && PROBE_R == 0)
  351.                 {        probe_before = 'l';break;                                        }
  352.                 else if(PROBE_L == 0 && PROBE_M ==0 && PROBE_R == 1)
  353.                 {        probe_before = 'r';break;                                        }
  354.         }
  355.         EX1 = 0; //禁止中断 等到P33电平由0变1时再允许中断
  356.         see_coin = 1;
  357.         num_coin++;
  358. }
  359. //********************     定时器    ***********************
  360. void timer_init()//定时器初始化
  361. {
  362. //        ET0 = 1;
  363.         ET1 = 1;
  364.         TMOD = 0x11;// 定时器0,1 :不响应外部引线,定时器方式(为振荡器12分频计数) 模式1(16位计数器)
  365.         TCON = 0;
  366. //        t0_h = 0x3C;        //0x3CB0 = 65536 -50000= 15536        50ms中断一次
  367. //        t0_l = 0xB0;
  368. //        TH0 = t0_h;
  369. //        TL0 = t0_l;

  370.         t1_h = 0xFC;
  371.         t1_l = 0x18;//0xFC18 = 64536        1000 = 65536 - 64536  1ms中断一次
  372.         TH1 = t1_h;
  373.         TL1 = t1_l;         
  374. }
  375. /*
  376. //**********定时器0**********
  377. void timer0() interrupt 1 //定时器默认12T 即12MHz晶振下 THi TLi 每1us加1,与c51单片机兼容
  378. {
  379.         TH0 = t0_h;        //0x3CAF = 65535 -50000= 15535        50ms中断一次
  380.         TL0 = t0_l;
  381.         t0_flag++;

  382.         if(t0_flag == 20) //1s
  383.         {
  384.                 t0_flag = 0;
  385.                 time_of_move++;//每过一秒 小车行驶时间变量+1
  386.         }
  387. }*/
  388. //**********定时器1**********
  389. void timer1() interrupt 3        //每1ms中断一次
  390. {
  391.         TH1 = 0xFC;
  392.         TL1 = 0x17;
  393.         t1_flag++;
  394.         t1_flag_add++;
  395.         t1_flag_time++;
  396.         t1_flag_see_coin++;
  397.         t1_flag_laserout++;

  398.         if(t1_flag_time == 1000)
  399.         {
  400.                 t1_flag_time = 0;
  401.                 time_of_move++;//每过一秒 小车行驶时间变量+1
  402.         }
  403. }
  404. //************* PWM调速相关函数 ****************
  405. void pca0()//P1.3输出
  406. {
  407.         CCAPM0 = 0x42;//8位PWM,无中断模式
  408.         CCAP0H = CCAP0L = 0xFF;//初始占空比设为0%       
  409. }
  410. void pca1()//P1.4输出
  411. {
  412.         CCAPM1 = 0x42;
  413.         CCAP1H = CCAP1L = 0xFF;
  414. }       
  415. void pca_start()//设置pca工作模式
  416. {
  417.         CMOD = 0x02;//2分频(23kHz在人耳听觉范围之外),不允许CF中断 允许中断时,运行卡
  418.         CCON = 0;
  419.         CH = CL = 0;
  420.         CR = 1;//pca开始计数
  421. }
  422. void pwm(unsigned long pwm0,unsigned long pwm1)
  423. {
  424.         uint pwm0_temp,pwm1_temp;
  425.         pwm0_temp = 255 - (pwm0*xs*255/1000); //pwm0 = 0 时 pwm0_temp = 255;xs:系数0~10
  426.         pwm1_temp = 255 - (pwm1*xs*255/1000);
  427.         CCAP0H = CCAP0L = pwm0_temp;
  428.         CCAP1H = CCAP1L = pwm1_temp;
  429. }
  430. //**************** LCD1602 ********************
  431. //******LCD基本函数******
  432. void busy_check()  //忙碌检测
  433. {       
  434.         RW = 1;         //读
  435.         RS = 0;         //指令寄存器
  436.         LCD_DATA = 0xFF;//实验证明读数时要将I/O口要置1  

  437.         LCDEN = 0;
  438.         _nop_();
  439.         _nop_();
  440.         _nop_();
  441.         _nop_();
  442.         LCDEN = 1;// EN高电平读信息 负跳变执行指令
  443.         _nop_();
  444.         _nop_();
  445.         _nop_();
  446.         _nop_();
  447.         while(1)
  448.         {
  449.                 if(LCD_BUSY == 0)//P07 == 0跳出循环
  450.                         break;
  451.         }
  452. }
  453. void lcdwrcom(uchar command)//写指令
  454. {       
  455.         busy_check();
  456.         RW = 0;//写
  457.     RS = 0;//指令寄存器
  458.     LCD_DATA = command;

  459.         LCDEN = 1;//负跳变写入指令
  460.         _nop_();
  461.         _nop_();
  462.         _nop_();
  463.         _nop_();
  464.         LCDEN = 0;
  465. }
  466. void lcdwrdata(uchar lcd_data)//写数据        数字、字母、标点符号都是数据
  467. {
  468.         busy_check();
  469.         RW = 0;//写
  470.     RS = 1;//数据寄存器
  471.     LCD_DATA = lcd_data;

  472.         LCDEN = 1;//负跳变写入指令
  473.         _nop_();
  474.         _nop_();
  475.         _nop_();
  476.         _nop_();
  477.         LCDEN = 0;       
  478. }
  479. void lcd_init()
  480. {       
  481.         delayms(20);//必要 lcd1602上电到电压稳定需要时间   
  482.         RW = 0;//写
  483.         RS = 0;//指令寄存器
  484.     LCD_DATA = 0x38;// 0x38设置显示模式为:16X2 显示,5X7 点阵,8 位数据接口'
  485.         LCDEN = 1;
  486.         _nop_();
  487.         _nop_();
  488.         _nop_();
  489.         _nop_();
  490.         LCDEN = 0;
  491.         delayms(5);

  492.         lcdwrcom(0x0c);//打开显示 无光标 不闪烁
  493.         lcdwrcom(0x06);//指令3 光标右移 屏幕所有文字移动无效
  494.         lcdwrcom(0x01);// 清显示,光标复位到地址00H位置。
  495. }
  496. //****** LCD扩展函数 ******
  497. void address(uchar x,uchar y)  //定位下一步要写数的地址
  498. {       
  499.         uchar location;
  500.         if(x == 0)
  501.             location = 0x80|y;
  502.         else
  503.                 location = 0xC0|y;

  504.          lcdwrcom(location);               
  505. }
  506. void printchar(uchar x,uchar y,uchar letter)//显示字母、单个字符
  507. {
  508.         address(x,y);
  509.         lcdwrdata(letter);
  510. }
  511. void printword(uchar x,uchar y,uchar *word) //显示单词(字符数组)
  512. {
  513.         uchar i = 0;
  514.         for(i = 0;word[i] != '\0';i++)
  515.         {
  516.                 address(x,y + i);
  517.                 lcdwrdata(word[i]);       
  518.         }       
  519. }
  520. void printuint(uchar x,uchar y,uchar num_ws_max,uint num)//显示无符号整形 0~65535 x:行 y:列 num_ws_max 变量的最大位数
  521. {
  522.         uchar i = 0;
  523.         uchar str[5] = {0x20,0x20,0x20,0x20,0x20};

  524.         if(num >= 10000)
  525.         {
  526.                 str[0] = num/10000 + '0';
  527.                 str[1] = num%10000/1000 + '0';
  528.                 str[2] = num%1000/100 + '0';
  529.                 str[3] = num%100/10 + '0';
  530.                 str[4] = num%10 + '0';
  531.         //        str[5] = '\0';         //手动加字符串结束标志
  532.         }
  533.         else if(num >= 1000)
  534.         {
  535.                 str[0] = num/1000 + '0';
  536.                 str[1] = num%1000/100 + '0';
  537.                 str[2] = num%100/10 + '0';
  538.                 str[3] = num%10 + '0';
  539.                 str[4] ='\0';
  540.         }
  541.         else if(num >= 100)
  542.         {       
  543.                 str[0] = num/100 + '0';
  544.                 str[1] = num%100/10 + '0';
  545.                 str[2] = num%10 + '0';
  546.                 str[3] = '\0';
  547.         }
  548.         else if(num >=10)
  549.         {       
  550.                 str[0] = num/10 + '0';
  551.                 str[1] = num%10 + '0';
  552.                 str[2] = '\0';
  553.         }
  554.         else if(num >= 0)
  555.         {
  556.                 str[0] = num + '0';
  557.                 str[1] = '\0';
  558.         }
  559.          
  560.         for(i = 0;i <= 5;i++)        //uint类型 最大值65535 为5位数
  561.         {
  562.                 if(str[i] != '\0' && i < num_ws_max)
  563.                 {
  564.                         address(x,y + i);
  565.                         lcdwrdata(str[i]);
  566.                 }
  567.                 else if(str[i] == '\0' && i < num_ws_max)
  568.                 {
  569.                         address(x,y+i);
  570.                         lcdwrdata(' ');//空格                                  // 实现功能:在此变量的位数范围内,把没数字的位存0x20(空白)
  571.                                                                                                  //例如:最大有3位:999 当变为99时,存为9+'0' 9+'0' 0x20
  572.                 }                                       
  573.         }       
  574. }
  575. /*
  576. void printvolt(uchar x,uchar y,uint v) //将一个3位数除以100 保留两位小数 可用来显示电压 0.00~5.00V
  577. {                                                                          
  578.         uchar str[4] = "0";
  579.         uchar i = 0;

  580.         str[0] = v/100 + '0';
  581.         str[1] = '.';
  582.         str[2] = v%100/10 + '0';
  583.         str[3] = v%10 + '0';

  584.         printword(x,y,str);
  585.        
  586. }*/
  587. //***************        ADC           ******************
  588. void adc_init()        //adc初始化       
  589. {       
  590.         EADC = 1;  //允许ADC中断
  591.         SW_CH = SW_BH = SW_AH = 0;
  592.         SW_CL = SW_BL = SW_AL = 0;
  593.         ADC_CONTR = 0x8D;//使用P1.5口 540个时钟周期转换一次
  594. //        ADC_CONTR = 0xCD;//使用P1.5口 180个时钟周期转换一次
  595.         P1ASF = 0x20;//P1口 P1.5作为模拟功能A/D使用       
  596. }
  597. void adc() interrupt 5
  598. {
  599.         unsigned long int volt_temp; //涉及到*500的计算 所以定义出无符号长整形
  600.         uchar i = 0;
  601. //        ADC_CONTR = 0xC5;// A/D转换完成 ADC_FLAG软件置零        停止转换
  602.         ADC_CONTR = 0x85;

  603.         adc5[times_adc] = ADC_RES;

  604.         volt_temp = ADC_RES;
  605.         volt = volt_temp*500/255; //volt_temp 设为无符号长整形 先乘再除保证精度。将0~5的数拉长为0~500,提高精度。

  606.         if(times_adc < 48 )
  607.         {         
  608.                 times_adc++; //0~48
  609.                 SW_CH = 4&(times_adc/7); //按位与  接通cd4051正确的行
  610.                 SW_BH = 2&(times_adc/7);
  611.                 SW_AH = 1&(times_adc/7);

  612.                 SW_CL = 4&(times_adc%7); //接通cd4051正确的列
  613.                 SW_BL = 2&(times_adc%7);
  614.                 SW_AL = 1&(times_adc%7);
  615.         }
  616.         else  //找出最小值,当最小值小于某个值说明激光打在adc_min这个区域
  617.         {         
  618.                 times_adc = 0;
  619.                 SW_CH = SW_BH = SW_AH = 0;
  620.                 SW_CL = SW_BL = SW_AL = 0;        //为下一次扫描cd4051做准备                 

  621.            //*****        判断最小值        ******
  622.                 num_vmin = 0;
  623.                 for(i = 0;i < 49;i++)
  624.                 {
  625.                         if(adc5[i] <= adc5[num_vmin])
  626.                                 num_vmin = i;
  627.                 }        
  628.         }       
  629. //   ADC_CONTR = 0xCD;//开始转换
  630.    ADC_CONTR = 0x8D;          
  631. }

  632. void move(uchar g_b_l,uchar g_b_r,uchar pwm0,uchar pwm1)         
  633. //g_b_l: 'g'左轮前进 'b' 左轮后退 ;g_b_r:'g':右轮前进 'b'右轮后退  pwm_left:左轮占空比  pwm_right:右轮占空比
  634. {
  635.         if(g_b_l == 'g')
  636.         {       
  637.                 LEFT_GO;
  638. /*                left_mod[0] = 'G';        //数组只能在初始化时整体赋值 在这里left[] = "GO  "是行不通的
  639.                 left_mod[1] = 'O';
  640.                 left_mod[2] = 0x20;        //空值 打空格也行
  641.                 left_mod[3] = ' ';        //空格
  642.                 left_mod[4] = '\0';        */       
  643.         }
  644.         else if(g_b_l == 'b')
  645.         {       
  646.                 LEFT_BACK;
  647. /*                left_mod[0] = 'B';
  648.                 left_mod[1] = 'A';
  649.                 left_mod[2] = 'C';
  650.                 left_mod[3] = 'K';
  651.                 left_mod[4] = '\0';        */
  652.         }
  653.         else if(g_b_l == 's')
  654.         {
  655.                 LEFT_STOP;
  656. /*                left_mod[0] = 'S';
  657.                 left_mod[1] = 'T';
  658.                 left_mod[2] = 'O';
  659.                 left_mod[3] = 'P';
  660.                 left_mod[4] = '\0';        */       
  661.         }

  662.         if(g_b_r == 'g')
  663.         {
  664.                 RIGHT_GO;
  665. /*                right_mod[0] = 'G';
  666.                 right_mod[1] = 'O';
  667.                 right_mod[2] = 0x20; //空值
  668.                 right_mod[3] = 0x20; //空值
  669.                 right_mod[4] = '\0';        */
  670.         }
  671.         else if(g_b_r == 'b')
  672.         {       
  673.                 RIGHT_BACK;
  674. /*                right_mod[0] = 'B';
  675.                 right_mod[1] = 'A';
  676.                 right_mod[2] = 'C';
  677.                 right_mod[3] = 'K';
  678.                 right_mod[4] = '\0';*/       
  679.         }
  680.         else if(g_b_r == 's')
  681.         {
  682.                 RIGHT_STOP;
  683. /*                right_mod[0] = 'S';
  684.                 right_mod[1] = 'T';
  685.                 right_mod[2] = 'O';
  686.                 right_mod[3] = 'P';
  687.                 right_mod[4] = '\0';   */
  688.         }
  689.         if(pwm0 >= 0 && pwm0 <= 100 && pwm1 >= 0 && pwm1 <= 100)       
  690.         {
  691.                 pwm(pwm0,pwm1);
  692.         }
  693.         else   //值不在0 ~100 的范围
  694.         {
  695.                 pwm(0,0);
  696.         }       
  697. }
  698. void track(uchar pwm0,uchar pwm1)//循迹函数
  699. {
  700.         if(PROBE_L == 0 && PROBE_M ==0 && PROBE_R == 0)           //处理上一步探头状态 避免冲出跑道
  701.         {
  702.                 if(probe_before == 'l')  //上一步左转
  703.                 {       
  704.                         move('b','g',86,86);//左轮?马力向后转         右轮?马力向前转 即左转       
  705.                 }
  706.                 else if(probe_before == 'g')        //上一步前进
  707.                 {
  708.                         move('g','g',pwm0,pwm1);//左右轮分别以pwm0 pwm1 马力前进
  709.                 //        move('b','g',pwm0,pwm1);//左轮pwm0马力向后转   右轮pwm1马力向前转 即左转
  710.                         //继续前进的话容易出现失误,跑出赛道。选择左转,右转均可
  711.                 }  
  712.                 else if(probe_before == 'r')        //上一步右转
  713.                 {
  714.                         move('g','b',86,86);//左轮?马力向前转   右轮?马力向后转 即右转       
  715.                 }       
  716.         }
  717.         else if(PROBE_L == 0 && PROBE_M == 1 && PROBE_R == 0) //中部探头在黑带处 前进
  718.         {
  719.                 probe_before = 'g';//前进标志
  720.                 move('g','g',pwm0,pwm1);//前进
  721.         }
  722.         else if(PROBE_L == 1 && PROBE_M == 1 && PROBE_R == 0)
  723.         {
  724.                 if(change_line_mod == '?')
  725.                 {
  726.                         times_probe_l++;
  727.                 }

  728.                 probe_before = 'l'; //左转标志
  729.                 move('b','g',85,85);//左转
  730.         }
  731.         else if(PROBE_L == 1 && PROBE_M ==0 && PROBE_R == 0)
  732.         {
  733.                    if(change_line_mod == '?')
  734.                 {
  735.                         times_probe_l++;
  736.                 }

  737.                 probe_before = 'l'; //左转标志
  738.                 move('b','g',86,86);//左转       
  739.         }
  740.         else if(PROBE_L == 0 && PROBE_M == 1 && PROBE_R == 1)
  741.         {
  742.                 if(change_line_mod == '?') //未定值时
  743.                 {
  744.                         times_probe_r++;
  745.                 }

  746.                 probe_before = 'r';//右转标志
  747.                 move('g','b',85,85);//右转
  748.         }
  749.         else if(PROBE_L == 0 && PROBE_M ==0 && PROBE_R == 1)
  750.         {
  751.                 if(change_line_mod == '?') //未定值时
  752.                 {
  753.                         times_probe_r++;
  754.                 }               

  755.                 probe_before = 'r';//右转标志
  756.                 move('g','b',86,86);//右转
  757.         }
  758.         else if(PROBE_L == 1 && PROBE_M == 1 && PROBE_R == 1)  //赛道中 111表示横着的黑带,标志着下一个步骤
  759.         {       
  760.                 while(1)
  761.                 {       
  762.                         move('g','g',pwm0,pwm1);//前进
  763.                         if(PROBE_L == 0 || PROBE_M == 0 || PROBE_R == 0)
  764.                         {
  765.                            if(step < 255) //防止溢出变为0
  766.                                         step++;
  767.                                 break;
  768.                         }               
  769.                 }       
  770.         }
  771. /*        else if(PROBE_L == 1 && PROBE_M ==0 && PROBE_R == 1) //赛道上不出现这个情况
  772.         {

  773.         }
  774. */
  775.         if(change_line_mod == '?')        //为change_line_mod的定值
  776.         {
  777.                 if(times_probe_l == 15 || times_probe_r == 15) //任意一个探测到黑带数达到15 开始确定change_line_mod的值
  778.                 {
  779.                         if(times_probe_l > times_probe_r)
  780.                                 change_line_mod = 'l'; //左转进入内圈
  781.                         else
  782.                                 change_line_mod = 'r'; //右转进入内圈
  783.                 }
  784.         }
  785. }
  786. void track_all(uchar pwm_l,uchar pwm_r)
  787. {       
  788.         if(angle < 720)
  789.         {       
  790. /*                if(P33 == 1 && EX1 == 0 && flag_see_coin == 0)
  791.                         EX1 = 1;*/
  792.           if(see_coin == 1 && P33 == 1) //检测到硬币 且探头脱离硬币 防止多次检测同一个硬币
  793.                 {
  794.                         move('s','s',0,0);//停车
  795.                         if(flag_see_coin == 0) //刚检测到硬币时 置零t1_flag_see_coin 开始计时
  796.                         {
  797.                                 flag_see_coin = 1;                //已经检测到硬币
  798.                                 t1_flag_see_coin = 0;         //置零t1_flag_see_coin 开始计时
  799.                         }
  800.                         if(t1_flag_see_coin < 500)
  801.                         {        LED = 1;        SPK = 1;        }
  802.                         else if(t1_flag_see_coin < 1000)
  803.                         {        LED = 0;        SPK = 0;        }
  804.                         else if(t1_flag_see_coin < 1500)
  805.                         {        LED = 1;        SPK = 1;        }
  806.                         else if(t1_flag_see_coin < 2000)
  807.                         {        LED = 0;        SPK = 0;        }
  808.                         else
  809.                         {       
  810.                                 EX1 = 1;
  811.                                 see_coin = 0; //回去执行检测到硬币之前的else语句
  812.                                 flag_see_coin = 0;
  813.                         }       
  814.                 }
  815.                 else if(step == 0)
  816.                 {
  817.                         if(PROBE_L == 1 && PROBE_M == 1 && PROBE_R == 1)
  818.                         {
  819.                                 move('g','g',83,83);
  820.                                 while(1)
  821.                                 {       
  822.                                         if(PROBE_L == 0 || PROBE_M == 0 || PROBE_R == 0)
  823.                                         {
  824.                                                 step = 1;
  825.                                                 break;
  826.                                         }
  827.                                 }
  828.                         }
  829.                 }
  830.                 else if(step == 1)
  831.                 {       
  832.                         track(pwm_l,pwm_r);
  833.                 }
  834.                 else if(step  == 2)
  835.                 {       
  836.                         track(pwm_l + 5,pwm_r + 5);        //加速行驶
  837.                 }
  838.                 else if( step == 3)
  839.                 {
  840.                          track(pwm_l,pwm_r);       
  841.                 }
  842.                 else if(step == 4)        //进入内圈 声光提示
  843.                 {       
  844.                         if(change_line == 1)//第1步 驶出横黑带
  845.                         {
  846.                                 LED = SPK = 1;
  847.                                 move('g','g',pwm_l,pwm_r);
  848.                                 if(PROBE_L == 0 || PROBE_M == 0 || PROBE_R == 0)
  849.                                         change_line = 2;
  850.                         }
  851.                         else if(change_line == 2)//第2步 让与转向方式相异的边探头探测到黑带
  852.                         {
  853.                                 LED = SPK = 0;
  854.                                 if(change_line_mod == 'l') //左转 探头状态001 (让右探头探测黑带,再进入下一步)
  855.                                 {
  856.                                         move('b','g',86,86);
  857.                                         if(PROBE_L == 0 && PROBE_M == 0 && PROBE_R == 1)
  858.                                         change_line = 3;
  859.                                 }
  860.                                 else if(change_line_mod == 'r')        //右转 探头状态100
  861.                                 {
  862.                                         move('g','b',86,86);
  863.                                         if(PROBE_L == 1 && PROBE_M == 0 && PROBE_R == 0)
  864.                                         change_line = 3;       
  865.                                 }                                        
  866.                         }
  867.                         else if(change_line == 3) //第3步 转向前进交替
  868.                         {
  869.                                  if(change_line_mod == 'l')        //左转前进交替
  870.                                 {
  871.                                         LED = SPK = 1;
  872.                                         move('b','g',86,86);
  873.                                         delayms(10);
  874.                
  875.                                         LED = SPK = 0;
  876.                                         move('g','g',pwm_l + 15,pwm_r + 15);
  877.                                         delayms(5);
  878.                                         if(PROBE_L == 1 || PROBE_M == 1)
  879.                                         {
  880.                                                 LED = SPK = 0;
  881.                                                 change_line = 0;
  882.                                                 step = 5;
  883.                                         }
  884.                                 }
  885.                                 else if(change_line_mod == 'r')        //右转前进交替
  886.                                 {
  887.                                         LED = SPK = 1;
  888.                                         move('g','b',86,86);
  889.                                         delayms(10);
  890.                
  891.                                         LED = SPK = 0;
  892.                                         move('g','g',pwm_l + 15,pwm_r + 15);
  893.                                         delayms(5);
  894.                                         if(PROBE_L == 1 || PROBE_M == 1)
  895.                                         {
  896.                                                 LED = SPK = 0;
  897.                                                 change_line = 0;
  898.                                                 step = 5;
  899.                                         }       
  900.                                 }
  901.                         }               
  902.                 }
  903.                 else
  904.                 {
  905.                         track(pwm_l,pwm_r);       
  906.                 }
  907.         }
  908.         else if(angle >= 720)
  909.         {
  910.                 move('s','s',0,0);
  911.         }       
  912. }

  913. void laser_guide(uchar pwm) //激光引导模式
  914. {
  915.         uchar x;
  916.         uchar y;
  917.        
  918.         if(adc5[num_vmin] < 210)// 确保有激光打到光敏电阻上才执行
  919.         {
  920.                 flag_laserout = 0;
  921.                 x = num_vmin/7;        //对7取整得到行数
  922.                 y = num_vmin%7;        //对7取余得到列数

  923.                 num_vmin_before = num_vmin;
  924.                 if(x >= 2  && x <= 4 && y <= 2)       
  925.                 {
  926.                         move('g','g',pwm - 5,pwm - 5);//前进        转弯比直走费劲
  927.                 }
  928.                 else if(x >= 2  && x <= 4 && y >= 4)
  929.                 {
  930.                          move('b','b',pwm - 5,pwm - 5);//后退
  931.                 }
  932.                 else if(x >= 5)
  933.                 {       
  934.                         move('b','g',pwm,pwm);//左转  转弯比直走费劲
  935.                 }
  936.                 else if(x <= 2)       
  937.                 {
  938.                         move('g','b',pwm,pwm);//右转
  939.                 }
  940.                 else
  941.                 {
  942.                         move('s','s',0,0);//停止
  943.                 }                       
  944.         }
  945.         else  //激光脱离 保持原行驶状态一段时间,希望能重新感应到
  946.         {
  947.                 x = num_vmin_before/7;        //对7取整 得到行数
  948.                 y = num_vmin_before%7;        //对7取余 得到列数

  949.                 if(flag_laserout == 0)        //刚脱离感光板
  950.                 {
  951.                         flag_laserout = 1;
  952.                         t1_flag_laserout = 0;        //置零 每1ms加1
  953.                 }

  954.                 if(t1_flag_laserout < 300 )         //?ms
  955.                 {
  956.                         if(x >= 2  && x <= 4 && y <= 2)       
  957.                         {
  958.                                 move('g','g',pwm - 5,pwm - 5);//前进
  959.                         }
  960.                         else if(x >= 2  && x <= 4 && y >= 4)
  961.                         {
  962.                                  move('b','b',pwm - 5,pwm - 5);//后退
  963.                         }
  964.                         else if(x >= 5)
  965.                         {       
  966.                                 move('b','g',pwm,pwm);//左转
  967.                         }
  968.                         else if(x <= 2)       
  969.                         {
  970.                                 move('g','b',pwm,pwm);//右转
  971.                         }
  972.                         else
  973.                         {
  974.                         move('s','s',0,0);//停止
  975.                         }               
  976.                 }
  977.                 else
  978.                 {
  979.                         move('s','s',0,0);
  980.                         num_vmin_before = 24;       
  981.                 }
  982.                
  983.         }
  984. }
  985. //************ 判断NRF24L01是否联机 ************
  986. uchar isonline(uint time)         //返回0:离线、超时 ,返回1:在线或未超时
  987. {
  988.         static uchar addone;
  989.         static uchar addone_b;
  990.         static uchar flag_add;
  991.         uchar revalue;

  992.         addone = RxBuf[12];
  993.         if(addone == addone_b) //值不变 发送方可能离线        当值保持不变的时间超过参数time,则视发送方为离线
  994.         {
  995.                 if(flag_add == 0)
  996.                 {
  997.                         flag_add = 1;
  998.                         t1_flag_add = 0;//t1_flag_add 置0;t1_flag_add在定时器1中断函数中 每1ms自加1
  999.                 }
  1000.                 if(t1_flag_add < time)//未超时
  1001.                 {
  1002.                         revalue = 1;
  1003.                 }
  1004.                 else if(t1_flag_add >= time)//超时
  1005.                 {
  1006.                         t1_flag_add = time;
  1007.                         revalue = 0;                //超时 发送端离线
  1008.                 }
  1009.         }
  1010.         else if(addone != addone_b)
  1011.         {
  1012.                 addone_b = addone;
  1013.                 flag_add = 0;
  1014.                 revalue = 1;//值在变 发送端在线 未失联
  1015.         }
  1016.         return(revalue);//返回1:在线 , 0:离线       
  1017. }
  1018. void rc_ol(uchar pwm_ctrl_l,uchar pwm_ctrl_r) //rc 遥控 模式 发送方在线
  1019. {
  1020.         uchar mod_ctrl_l;
  1021.         uchar mod_ctrl_ls;        //用于显示+ -
  1022.         uchar mod_ctrl_r;
  1023.         uchar mod_ctrl_rs;        //用于显示+ -
  1024.         uint pwm_ctrl_temp;        //防止数据溢出

  1025.         if(pwm_ctrl_l >= 129 && pwm_ctrl_l <= 255)//129~255  差值126
  1026.         {
  1027.                 mod_ctrl_l = 'g';
  1028.                 mod_ctrl_ls = '+';//前进
  1029.                 pwm_ctrl_temp = pwm_ctrl_l;
  1030.                 pwm_ctrl_l = (pwm_ctrl_temp - 129)*100/126;//将129~255转换成0~100
  1031.         }
  1032.         else if(pwm_ctrl_l >= 0 && pwm_ctrl_l <= 126)
  1033.         {
  1034.                 mod_ctrl_l = 'b';
  1035.                 mod_ctrl_ls = '-';
  1036.                 pwm_ctrl_temp = pwm_ctrl_l;
  1037.                 pwm_ctrl_l = 100 - (pwm_ctrl_temp*100/126);        //将0~126转换为100~0
  1038.         }
  1039.         else  //包括等于 127,128 其他
  1040.         {
  1041.                 mod_ctrl_l = 's';
  1042.                 mod_ctrl_ls = ' ';
  1043.                 pwm_ctrl_l = 0;
  1044.         }
  1045.         if(pwm_ctrl_r >= 129 && pwm_ctrl_r <= 255)//129~255  差值126
  1046.         {
  1047.                 mod_ctrl_r = 'g';//前进
  1048.                 mod_ctrl_rs = '+';
  1049.                 pwm_ctrl_temp = pwm_ctrl_r;
  1050.                 pwm_ctrl_r = (pwm_ctrl_temp - 129)*100/126;//将129~255转换成0~100
  1051.         }
  1052.         else if(pwm_ctrl_r >= 0 && pwm_ctrl_r <= 126)
  1053.         {
  1054.                 mod_ctrl_r = 'b';
  1055.                 mod_ctrl_rs = '-';
  1056.                 pwm_ctrl_temp = pwm_ctrl_r;
  1057.                 pwm_ctrl_r = 100 - (pwm_ctrl_temp*100/126);        //将0~126转换为100~0
  1058.         }
  1059.         else  //包括等于 127,128 其他
  1060.         {
  1061.                 mod_ctrl_r = 's';
  1062.                 mod_ctrl_rs = ' ';
  1063.                 pwm_ctrl_r = 0;
  1064.         }

  1065.         move(mod_ctrl_l,mod_ctrl_r,pwm_ctrl_l,pwm_ctrl_r);
  1066.         if(string_cmp(lcd_code,"rc_ol") != 1)
  1067.         {
  1068.                 lcdwrcom(0x01);//清显示
  1069.                 string_copy(lcd_code,"rc_ol");
  1070.         }
  1071.         printword(0,0,"MODE:RC");
  1072.         printword(0,8,"TIME:");
  1073.         printuint(0,13,3,time_of_move);
  1074.         printword(1,0,"L:");
  1075.         printchar(1,2,mod_ctrl_ls);
  1076.         printuint(1,3,3,pwm_ctrl_l);
  1077.         printword(1,8,"R:");               
  1078.         printchar(1,10,mod_ctrl_rs);
  1079.         printuint(1,11,3,pwm_ctrl_r);
  1080.        
  1081. }
  1082. //******************** 主函数 ***********************
  1083. void main()
  1084. {
  1085.         uchar addone_tx = 0;
  1086.         uchar pwm_ctrl_l;
  1087.         uchar pwm_ctrl_r;
  1088.         uint pwm_rx = 0;
  1089.         uint pwm_laser = 0;
  1090. ……………………

  1091. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

所有资料51hei提供下载:
小车.zip (107.05 KB, 下载次数: 56)




评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏3 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:220062 发表于 2018-5-17 19:02 | 只看该作者
谢谢分享
回复

使用道具 举报

板凳
ID:79544 发表于 2019-8-30 09:08 | 只看该作者
很好的资料,感谢分享,学习啦!!!!!!!!
回复

使用道具 举报

地板
ID:890359 发表于 2021-3-10 15:07 | 只看该作者
用的是什么传感器啊
回复

使用道具 举报

5#
ID:576302 发表于 2021-4-8 11:02 | 只看该作者
同问,用的是什么传感器
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表