找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 11885|回复: 18
收起左侧

单片机的GPS定位系统仿真与源码

  [复制链接]
ID:246078 发表于 2018-1-25 12:45 | 显示全部楼层 |阅读模式
51单片机做的pgs定位proteus仿真图:
0.png 0.png

单片机源程序如下:
  1. #include <reg51.h>
  2. #include <intrins.h>

  3. #define uchar unsigned char
  4. #define uint unsigned int

  5. uchar dataLength = 80;
  6. uchar count=0;

  7. bit Flag1=0;
  8. bit Flag2=0;
  9. bit Flag3=0;

  10. uchar idata uartBuffer[100]={0};//串口GPS数据缓冲数组
  11. uchar uartByte;//所处帧的部分                                                   

  12. uchar idata uLatitude[14]="W00 00'00.00";//纬度//<3>        //以下为GPRMC语句信息提取//
  13. uchar idata uLongitude[14]="J000 00'00.00";//经度//<5>
  14. uchar idata uSpeed[10]={0};//地面速度//<7>
  15. uchar idata uDate[9]="D00/00/00";//日期//<9>

  16. sbit lcdRs=P3^5;
  17. sbit lcdRw=P3^6;
  18. sbit lcdEn=P3^7;

  19. // 延时函数
  20. void delay(unsigned int t)
  21. {
  22.         unsigned int x,y;
  23.         for(x=t;x>0;x--)
  24.                 for(y=400;y>0;y--);
  25. }

  26. // LCD写指令函数
  27. void lcdWriteCmd(unsigned char cmd)
  28. {
  29.         lcdRs=0;
  30.         P1=cmd;
  31.         delay(1);
  32.         lcdEn=1;
  33.         delay(1);
  34.         lcdEn=0;
  35. }

  36. // LCD写数据函数
  37. void lcdWriteData(unsigned char dat)
  38. {
  39.         lcdRs=1;
  40.         P1=dat;
  41.         delay(20);
  42.         lcdEn=1;
  43.         delay(20);
  44.         lcdEn=0;
  45. }

  46. // LCD清屏函数
  47. void clear()
  48. {
  49.         lcdWriteCmd(0x01);
  50.         delay(5);
  51. }

  52. // LCD初始化函数
  53. void lcdInit()
  54. {
  55.         lcdEn=0;
  56.         lcdWriteCmd(0x38);
  57.         lcdWriteCmd(0x0f);
  58.         lcdWriteCmd(0x06);
  59.         clear();
  60.         lcdWriteCmd(0x80);
  61. }

  62. // LCD显示控制函数
  63. void lcdDisplay()
  64. {
  65.         unsigned char i;
  66.         lcdRw=0;
  67.         lcdInit();//LCD初始化

  68.         lcdWriteCmd(0x80);//第一行写命令
  69.         for(i=0;i<13;i++)
  70.         {
  71.                 lcdWriteData(uLatitude[i]);
  72.         }

  73.         lcdWriteCmd(0x80+0x40);//第二行写命令
  74.         for(i=0;i<14;i++)
  75.         {
  76.                 lcdWriteData(uLongitude[i]);
  77.         }
  78.         delay(500);
  79.         clear();

  80.         lcdWriteCmd(0x80);//第一行写命令
  81.         for(i=0;i<7;i++)
  82.         {
  83.                 lcdWriteData(uSpeed[i]);
  84.         }

  85.         lcdWriteCmd(0x80+0x40);//第二行写命令
  86.         for(i=0;i<9;i++)
  87.         {
  88.                 lcdWriteData(uDate[i]);
  89.         }
  90.         delay(500);
  91.         clear();
  92. }

  93. // GPS纬度提取函数
  94. void removeLatitude(unsigned char temp)
  95. {
  96.         uchar i,k=0;
  97.         for(i=temp+2;i<temp+13;i++)
  98.                 uLatitude[k++]=uartBuffer[i];
  99. }

  100. // GPS经度提取函数
  101. void removeLongitude(unsigned char temp)
  102. {
  103.         uchar i,k=0;
  104.         for(i=temp+2;i<temp+14;i++)
  105.                 uLongitude[k++]=uartBuffer[i];
  106. }

  107. // GPS速度提取函数
  108. void removeSpeed(unsigned char temp)
  109. {
  110.         uchar i,k=0;
  111.         for(i=temp+2;i<temp+9;i++)
  112.         {
  113.                 if(uartBuffer[i]==',') break;
  114.                 uSpeed[k++]=uartBuffer[i];
  115.         }
  116. }

  117. // GPS日期提取函数
  118. void removeDate(unsigned char temp)
  119. {
  120.         uchar i,k=0;
  121.         for(i=temp+2;i<temp+11;i++)
  122.                 uDate[k++]=uartBuffer[i];
  123. }

  124. // GPS数据处理函数
  125. void  uartBufferDeal()
  126. {
  127.         uchar i,j;
  128.         uchar comma_n=0;
  129.         for(i=0;i<100;i++)
  130.         {
  131.         if(uartBuffer[i]=='R')
  132.                 {
  133.                         comma_n=0;//逗号的个数归零
  134.                         for(j=i;j<100;j++)
  135.                         {
  136.                                 if(uartBuffer[j]==',')
  137.                                         comma_n+=1;
  138.                                 if(comma_n==2)
  139.                                         removeLatitude(j);
  140.                                 if(comma_n==4)
  141.                                         removeLongitude(j);
  142.                                 if(comma_n==6)
  143.                                         removeSpeed(j);
  144.                                 if(comma_n==8)
  145.                                         removeDate(j);
  146.                         }
  147.                 }
  148.         }
  149. }

  150. // 经纬度数据格式转换函数
  151. void formatControl()
  152. {
  153.         uchar w[13],j[13],D[6],V[10];
  154.         uchar i;
  155.         for(i=0;i<13;i++)
  156.         {
  157.                 w[i]=uLatitude[i];
  158.                 j[i]=uLongitude[i];
  159.         }
  160.         for(i=0;i<6;i++)
  161.         {
  162.                 D[i]=uDate[i];
  163.                 V[i]=uSpeed[i];
  164.         }

  165.         uLatitude[0]='W';
  166.         uLatitude[1]=w[0];
  167.         uLatitude[2]=w[1];
  168.         uLatitude[3]=0x20;//空格
  169.         uLatitude[4]=w[2];
  170.         uLatitude[5]=w[3];
  171.         uLatitude[6]=0x27;//单引号
  172.         uLatitude[7]=w[5];
  173.         uLatitude[8]=w[6];
  174.         uLatitude[9]=w[4];//小数点
  175.         uLatitude[10]=w[7];
  176.         uLatitude[11]=w[8];
  177.         uLatitude[12]=0x22;//双引号
  178.        
  179.         uLongitude[0]='J';
  180.         uLongitude[1]=j[0];
  181.         uLongitude[2]=j[1];
  182.         uLongitude[3]=j[2];
  183.         uLongitude[4]=0x20;//空格
  184.         uLongitude[5]=j[3];
  185.         uLongitude[6]=j[4];
  186.         uLongitude[7]=0x27;//单引号
  187.         uLongitude[8]=j[6];
  188.         uLongitude[9]=j[7];
  189.         uLongitude[10]=j[5];//小数点
  190.         uLongitude[11]=j[8];
  191.         uLongitude[12]=j[9];
  192.         uLongitude[13]=0x22;//双引号
  193.        
  194.         uDate[0]='D';
  195.         uDate[1]=D[0];
  196.         uDate[2]=D[1];
  197.         uDate[3]='/';
  198.         uDate[4]=D[2];
  199.         uDate[5]=D[3];
  200.         uDate[6]='/';
  201.         uDate[7]=D[4];
  202.         uDate[8]=D[5];
  203.        
  204.         for(i=0;i<10;i++)
  205.         {
  206.                 if(i==0)
  207.                         uSpeed[i]='V';
  208.                 else
  209.                         uSpeed[i]=V[i-1];
  210.         }
  211. }

  212. //==============串口初始化函数==========================
  213. void uartInit()
  214. {
  215.         SCON = 0x50;      //REN=1允许串行接收状态,串口工作模式1                             
  216.         TMOD|= 0x20;      //定时器工作方式2                    
  217.         PCON|= 0;                                 //SMOD设置为0                                                         
  218.         TH1 = 0xFA;                                // 波特率4800、数据位8、停止位1。效验位无 (11.0592M)
  219.         TL1 = 0xFA;         
  220.         TR1  = 1;                                        //定时器T1启动                                                            
  221.         ES   = 1;                                 //开串口中断                  
  222. }

  223. //主函数
  224. void main (void)
  225. {
  226.         uartInit();//初始化串口
  227.         EA = 1;// 开总中断
  228.         while(1)
  229.     {
  230.                 if(Flag1==1)
  231.                 {
  232.                         uartBufferDeal();//经纬度数据处理
  233.                         formatControl();//经纬度数据格式转换
  234. ……………………

  235. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

所有资料51hei提供下载:
GPS定位系统.rar (32.79 KB, 下载次数: 299)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:301131 发表于 2018-4-3 08:31 | 显示全部楼层
为什么仿真的时候lcd没有显示啊
回复

使用道具 举报

ID:282370 发表于 2018-4-3 15:20 | 显示全部楼层
同问,
为什么仿真的时候lcd没有显示啊
回复

使用道具 举报

ID:301816 发表于 2018-4-5 21:29 | 显示全部楼层
同问楼上,楼主还在不
回复

使用道具 举报

ID:303333 发表于 2018-4-10 23:25 | 显示全部楼层
好例子,谢谢楼主。
回复

使用道具 举报

ID:303333 发表于 2018-4-10 23:26 | 显示全部楼层
好东西,学习学习。
回复

使用道具 举报

ID:314639 发表于 2018-4-25 08:43 | 显示全部楼层
试了一下,是该要输入正确的GPS信号格式才能在LCD显示是不?我这LCD就是没用
回复

使用道具 举报

ID:312896 发表于 2018-4-29 13:41 | 显示全部楼层
且听风吟¥ 发表于 2018-4-25 08:43
试了一下,是该要输入正确的GPS信号格式才能在LCD显示是不?我这LCD就是没用

GPS NEMA语句才行
类似下面的
$GPRMC,015505.00,A,3031.8819,N,11429.9198,E,64.949,272.271,160418,,E,A*2F

$GPGGA,015506.00,3031.8827,N,11429.8990,E,1,19,0.650,17.702,M,,M,0,*7E

$GNGSA,A,3,3,10,14,16,22,25,26,29,31,32,206,207,209,210,65,67,75,76,85,,1.073,0.650,0.854*1D

$GPGSA,A,3,3,10,14,16,22,25,26,29,31,32,,,,,,,,,,,1.073,0.650,0.854*06

$GLGSA,A,3,65,67,75,76,85,,,,,,,,,,,,,,,,1.073,0.650,0.854*2D

$BDGSA,A,3,206,207,209,210,,,,,,,,,,,,,,,,,1.073,0.650,0.854*25

$QZGSA,A,3,,,,,,,,,,,,,,,,,,,,,1.073,0.650,0.854*21

$GPGSV,8,1,27,3,19,312,36,4,5,,,10,16,175,26,14,73,28,21*43

$GPGSV,8,2,27,16,25,211,22,22,32,291,33,25,28,40,34,26,57,213,20*45

$GPGSV,8,3,27,29,24,88,20,31,59,349,32,32,59,106,19*7B

$GLGSV,8,4,27,65,30,162,15,66,79,272,25,67,28,328,25,75,13,40,31*50

$GLGSV,8,5,27,76,49,3,28,77,39,268,,85,5,56,18*5C

$BDGSV,8,6,27,201,45,136,,202,40,227,,203,53,187,,204,29,116,*64

$BDGSV,8,7,27,205,19,249,,206,70,142,8,207,78,283,20,209,78,320,20*58

$BDGSV,8,8,27,210,56,247,16*6B

$GPRMC,015506.00,A,3031.8827,N,11429.8990,E,64.432,271.821,160418,,E,A*2D

$GPGGA,015507.00,3031.8834,N,11429.8784,E,1,19,0.650,18.536,M,,M,0,*7C

$GNGSA,A,3,3,10,14,16,22,25,26,29,31,32,206,207,209,210,65,67,75,76,85,,1.073,0.650,0.854*1D

$GPGSA,A,3,3,10,14,16,22,25,26,29,31,32,,,,,,,,,,,1.073,0.650,0.854*06

$GLGSA,A,3,65,67,75,76,85,,,,,,,,,,,,,,,,1.073,0.650,0.854*2D

$BDGSA,A,3,206,207,209,210,,,,,,,,,,,,,,,,,1.073,0.650,0.854*25

$QZGSA,A,3,,,,,,,,,,,,,,,,,,,,,1.073,0.650,0.854*21

$GPGSV,8,1,27,3,19,312,36,4,5,,,10,16,175,26,14,73,28,20*42

$GPGSV,8,2,27,16,25,211,21,22,32,291,33,25,28,40,33,26,57,213,20*41

$GPGSV,8,3,27,29,24,88,20,31,59,349,32,32,59,106,19*7B

$GLGSV,8,4,27,65,30,162,15,66,79,272,26,67,28,328,24,75,13,40,31*52

$GLGSV,8,5,27,76,49,3,27,77,39,268,,85,5,56,22*5A

$BDGSV,8,6,27,201,45,136,,202,40,227,,203,53,187,,204,29,116,*64

$BDGSV,8,7,27,205,19,249,,206,70,142,10,207,78,283,21,209,78,320,19*6A

$BDGSV,8,8,27,210,56,247,16*6B

$GPRMC,015507.00,A,3031.8834,N,11429.8784,E,63.857,271.255,160418,,E,A*24

$GPGGA,015508.00,3031.8839,N,11429.8579,E,1,19,0.650,18.859,M,,M,0,*7A

$GNGSA,A,3,3,10,14,16,22,25,26,29,31,32,206,207,209,210,65,67,75,76,85,,1.073,0.650,0.854*1D

$GPGSA,A,3,3,10,14,16,22,25,26,29,31,32,,,,,,,,,,,1.073,0.650,0.854*06

$GLGSA,A,3,65,67,75,76,85,,,,,,,,,,,,,,,,1.073,0.650,0.854*2D

$BDGSA,A,3,206,207,209,210,,,,,,,,,,,,,,,,,1.073,0.650,0.854*25

$QZGSA,A,3,,,,,,,,,,,,,,,,,,,,,1.073,0.650,0.854*21

$GPGSV,8,1,27,3,19,312,36,4,5,,,10,16,175,27,14,73,28,20*43

$GPGSV,8,2,27,16,25,211,20,22,32,291,34,25,28,40,33,26,57,213,20*47

$GPGSV,8,3,27,29,24,88,18,31,59,349,32,32,59,106,19*70

$GLGSV,8,4,27,65,30,162,15,66,79,272,26,67,28,328,25,75,13,40,31*53

$GLGSV,8,5,27,76,49,3,27,77,39,268,,85,5,56,25*5D

$BDGSV,8,6,27,201,45,136,,202,40,227,,203,53,187,,204,29,116,*64

$BDGSV,8,7,27,205,19,249,,206,70,142,10,207,78,283,20,209,78,320,22*63

$BDGSV,8,8,27,210,56,247,16*6B

$GPRMC,015508.00,A,3031.8839,N,11429.8579,E,63.643,271.428,160418,,E,A*21

$GPGGA,015509.00,3031.8841,N,11429.8376,E,1,19,0.650,18.728,M,,M,0,*74

$GNGSA,A,3,3,10,14,16,22,25,26,29,31,32,206,207,209,210,65,67,75,76,85,,1.073,0.650,0.854*1D

$GPGSA,A,3,3,10,14,16,22,25,26,29,31,32,,,,,,,,,,,1.073,0.650,0.854*06

$GLGSA,A,3,65,67,75,76,85,,,,,,,,,,,,,,,,1.073,0.650,0.854*2D

$BDGSA,A,3,206,207,209,210,,,,,,,,,,,,,,,,,1.073,0.650,0.854*25

$QZGSA,A,3,,,,,,,,,,,,,,,,,,,,,1.073,0.650,0.854*21

$GPGSV,8,1,27,3,19,312,36,4,5,,,10,16,175,27,14,73,28,21*42

$GPGSV,8,2,27,16,25,211,21,22,32,291,33,25,28,40,33,26,57,213,19*4B

$GPGSV,8,3,27,29,24,88,20,31,59,349,32,32,59,106,19*7B

$GLGSV,8,4,27,65,30,162,13,66,79,272,25,67,28,328,25,75,13,40,30*57

$GLGSV,8,5,27,76,49,3,28,77,39,268,,85,5,56,26*51

$BDGSV,8,6,27,201,45,136,,202,40,227,,203,53,187,,204,29,116,*64

$BDGSV,8,7,27,205,19,249,,206,70,142,11,207,78,283,20,209,78,320,22*62

$BDGSV,8,8,27,210,56,247,15*68

$GPRMC,015509.00,A,3031.8841,N,11429.8376,E,63.108,271.219,160418,,E,A*2A

$GPGGA,015510.00,3031.8842,N,11429.8175,E,1,19,0.650,18.696,M,,M,0,*7A

$GNGSA,A,3,3,10,14,16,22,25,26,29,31,32,206,207,209,210,65,67,75,76,85,,1.073,0.650,0.854*1D

$GPGSA,A,3,3,10,14,16,22,25,26,29,31,32,,,,,,,,,,,1.073,0.650,0.854*06

$GLGSA,A,3,65,67,75,76,85,,,,,,,,,,,,,,,,1.073,0.650,0.854*2D

$BDGSA,A,3,206,207,209,210,,,,,,,,,,,,,,,,,1.073,0.650,0.854*25

$QZGSA,A,3,,,,,,,,,,,,,,,,,,,,,1.073,0.650,0.854*21

$GPGSV,8,1,27,3,19,312,35,4,5,,,10,16,175,28,14,73,28,19*45

$GPGSV,8,2,27,16,25,211,24,22,32,291,33,25,28,40,33,26,57,213,18*4F

$GPGSV,8,3,27,29,24,88,19,31,59,349,31,32,59,106,19*72

$GLGSV,8,4,27,65,30,162,12,66,79,272,25,67,28,328,28,75,13,40,31*5A

$GLGSV,8,5,27,76,49,3,27,77,39,268,,85,5,56,26*5E

$BDGSV,8,6,27,201,45,136,,202,40,227,,203,53,187,,204,29,116,*64

$BDGSV,8,7,27,205,19,249,,206,70,142,8,207,78,283,22,209,78,320,22*58

$BDGSV,8,8,27,210,56,247,15*68

$GPRMC,015510.00,A,3031.8842,N,11429.8175,E,62.732,270.636,160418,,E,A*26

$GPGGA,015511.00,3031.8842,N,11429.7975,E,1,19,0.650,18.555,M,,M,0,*70

$GNGSA,A,3,3,10,14,16,22,25,26,29,31,32,206,207,209,210,65,67,75,76,85,,1.073,0.650,0.854*1D

$GPGSA,A,3,3,10,14,16,22,25,26,29,31,32,,,,,,,,,,,1.073,0.650,0.854*06

$GLGSA,A,3,65,67,75,76,85,,,,,,,,,,,,,,,,1.073,0.650,0.854*2D

$BDGSA,A,3,206,207,209,210,,,,,,,,,,,,,,,,,1.073,0.650,0.854*25

$QZGSA,A,3,,,,,,,,,,,,,,,,,,,,,1.073,0.650,0.854*21

$GPGSV,8,1,27,3,19,312,35,4,5,,,10,16,175,28,14,73,28,20*4F

$GPGSV,8,2,27,16,25,211,22,22,32,291,33,25,28,40,31,26,57,213,17*44

$GPGSV,8,3,27,29,24,88,19,31,59,349,31,32,59,106,18*73

$GLGSV,8,4,27,65,30,162,10,66,79,272,25,67,28,328,27,75,13,40,30*56

$GLGSV,8,5,27,76,49,3,27,77,39,268,,85,5,56,27*5F

$BDGSV,8,6,27,201,45,136,,202,40,227,,203,53,187,,204,29,116,*64

$BDGSV,8,7,27,205,19,249,,206,70,142,8,207,78,283,22,209,78,320,21*5B

$BDGSV,8,8,27,210,56,247,14*69

$GPRMC,015511.00,A,3031.8842,N,11429.7975,E,62.258,270.648,160418,,E,A*20

$GPGGA,015512.00,3031.8842,N,11429.7775,E,1,19,0.650,18.514,M,,M,0,*78

$GNGSA,A,3,3,10,14,16,22,25,26,29,31,32,206,207,209,210,65,67,75,76,85,,1.073,0.650,0.854*1D

$GPGSA,A,3,3,10,14,16,22,25,26,29,31,32,,,,,,,,,,,1.073,0.650,0.854*06

$GLGSA,A,3,65,67,75,76,85,,,,,,,,,,,,,,,,1.073,0.650,0.854*2D

$BDGSA,A,3,206,207,209,210,,,,,,,,,,,,,,,,,1.073,0.650,0.854*25

$QZGSA,A,3,,,,,,,,,,,,,,,,,,,,,1.073,0.650,0.854*21

$GPGSV,8,1,27,3,19,312,35,4,5,,,10,16,175,28,14,73,28,18*44

$GPGSV,8,2,27,16,25,211,23,22,32,291,33,25,28,40,31,26,57,213,17*45

$GPGSV,8,3,27,29,24,88,19,31,59,349,31,32,59,106,18*73

$GLGSV,8,4,27,65,30,162,9,66,79,272,25,67,28,328,28,75,13,40,30*61

$GLGSV,8,5,27,76,49,3,26,77,39,268,,85,5,56,27*5E

$BDGSV,8,6,27,201,45,136,,202,40,227,,203,53,187,,204,29,116,*64

$BDGSV,8,7,27,205,19,249,,206,70,142,11,207,78,283,21,209,78,320,20*61

$BDGSV,8,8,27,210,56,247,14*69

$GPRMC,015512.00,A,3031.8842,N,11429.7775,E,62.297,270.312,160418,,E,A*24

$GPGGA,015513.00,3031.8842,N,11429.7575,E,1,19,0.650,18.537,M,,M,0,*7A

$GNGSA,A,3,3,10,14,16,22,25,26,29,31,32,206,207,209,210,65,67,75,76,85,,1.073,0.650,0.854*1D

$GPGSA,A,3,3,10,14,16,22,25,26,29,31,32,,,,,,,,,,,1.073,0.650,0.854*06

$GLGSA,A,3,65,67,75,76,85,,,,,,,,,,,,,,,,1.073,0.650,0.854*2D

$BDGSA,A,3,206,207,209,210,,,,,,,,,,,,,,,,,1.073,0.650,0.854*25

$QZGSA,A,3,,,,,,,,,,,,,,,,,,,,,1.073,0.650,0.854*21

$GPGSV,8,1,27,3,19,312,35,4,5,,,10,16,175,26,14,73,28,19*4B

$GPGSV,8,2,27,16,25,211,23,22,32,291,32,25,28,40,31,26,57,213,17*44
回复

使用道具 举报

ID:331578 发表于 2018-5-17 19:57 | 显示全部楼层
为啥,不显示
回复

使用道具 举报

ID:311140 发表于 2018-6-5 10:19 来自手机 | 显示全部楼层
imncu 发表于 2018-4-29 13:41
GPS NEMA语句才行
类似下面的
$GPRMC,015505.00,A,3031.8819,N,11429.9198,E,64.949,272.271,160418,,E ...

哇回复才能看到吗?
回复

使用道具 举报

ID:417092 发表于 2019-4-24 22:08 | 显示全部楼层
用的这个程序
为什么显示的不对呀。

为什么不对

为什么不对
回复

使用道具 举报

ID:523572 发表于 2019-5-2 23:28 | 显示全部楼层
程序怎么错误
回复

使用道具 举报

ID:353873 发表于 2019-5-14 18:14 | 显示全部楼层
给力了
回复

使用道具 举报

ID:519003 发表于 2019-6-14 11:31 | 显示全部楼层
如何显示啊
回复

使用道具 举报

ID:675483 发表于 2020-1-4 11:03 | 显示全部楼层
好像不可以
回复

使用道具 举报

ID:631763 发表于 2020-1-7 13:00 | 显示全部楼层
都需要什么东西呀
回复

使用道具 举报

ID:730716 发表于 2020-4-16 17:08 来自手机 | 显示全部楼层
这个到底怎么做到的?一直想不通,不知道这个能不能可以?
回复

使用道具 举报

ID:833732 发表于 2020-10-24 09:09 来自手机 | 显示全部楼层
且听风吟¥ 发表于 2018-4-25 08:43
试了一下,是该要输入正确的GPS信号格式才能在LCD显示是不?我这LCD就是没用

你的代码写错了
回复

使用道具 举报

ID:867005 发表于 2021-5-16 11:47 | 显示全部楼层
ZMRGHY 发表于 2019-4-24 22:08
用的这个程序
为什么显示的不对呀。

您好,我能问一下是怎样修改的程序实现串口的虚拟显示的吗?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表