找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 5501|回复: 6
收起左侧

单片机DAC0832波形函数信号发生器proteus仿真与源程序

[复制链接]
ID:302069 发表于 2018-4-4 16:23 | 显示全部楼层 |阅读模式
这个是一个基于单片机的波形与函数信号发生器的设计

Altium Designer画的DAC0832信号发生器原理图和PCB图如下:(51hei附件中可下载工程文件)
0.png

单片机源程序如下:
  1. #include<reg52.h>                                           //包含头文件
  2. #include<intrins.h>
  3. #define uchar unsigned char                           //宏定义
  4. #define uint unsigned int

  5. sbit s1=P3^5;                                                    //定义按键的接口
  6. sbit s2=P3^6;
  7. sbit s3=P3^7;
  8. sbit s4=P3^4;

  9. sbit led0=P3^0;
  10. sbit led1=P3^1;
  11. sbit led2=P3^2;
  12. sbit led3=P3^3;
  13.                                                                           
  14. sbit lcdrs=P2^7;                                           //液晶控制位
  15. sbit lcden=P2^6;

  16. char num,boxing,u;                                           //定义全局变量
  17. int pinlv=100,bujin=1,bujin1=1;
  18. uchar code table[]="0123456789";                //定义显示的数组
  19. uchar code table1[]="Fout=     Wave form:";
  20. unsigned long int m;
  21. int a,b,h,num1;

  22. //自定义字符
  23. uchar code zifu[]={
  24.                                                 0x0e,0x11,0x11,0x00,0x00,0x00,0x00,0x00,
  25.                                                 0x00,0x00,0x00,0x00,0x11,0x11,0x0e,0x00,          //正弦波           0  1

  26.                                                 0x00,0x07,0x04,0x04,0x04,0x04,0x1c,0x00,
  27.                                                 0x00,0x1c,0x04,0x04,0x04,0x04,0x07,0x00,          //矩形波           2  3

  28.                                                 0x00,0x01,0x02,0x04,0x08,0x10,0x00,0x00,
  29.                                                 0x00,0x10,0x08,0x04,0x02,0x01,0x00,0x00,          //三角波           4  5

  30.                                                 0x00,0x01,0x03,0x05,0x09,0x11,0x00,0x00,            //锯齿波           6
  31. };
  32. uchar code sin[64]={
  33. 135,145,158,167,176,188,199,209,218,226,234,240,245,249,252,254,254,253,251,247,243,237,230,222,213,204,193,182,170,158,
  34. 146,133,121,108,96,84,72,61,50,41,32,24,17,11,7,3,1,0,0,2,5,9,14,20,28,36,45,55,66,78,90,102,114,128
  35. };                                          //正弦波取码
  36. uchar code juxing[64]={
  37. 255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,
  38. 255,255,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0
  39. };                                          //矩形波取码

  40. uchar code sanjiao[64]={
  41. 0,8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128,136,144,152,160,168,176,184,192,200,208,216,224,232,240,248,
  42. 248,240,232,224,216,208,200,192,184,176,168,160,152,144,136,128,120,112,104,96,88,80,72,64,56,48,40,32,24,16,8,0
  43. };                                                //三角波取码
  44. uchar code juchi[64]={
  45. 0,4,8,12,16,20,24,28,32,36,40,45,49,53,57,61,65,69,73,77,81,85,89,93,97,101,105,109,113,117,121,125,130,134,138,142,
  46. 146,150,154,158,162,166,170,174,178,182,186,190,194,198,202,206,210,215,219,223,227,231,235,239,243,247,251,255
  47. };                                          //锯齿波取码

  48. void delay(uint xms)         //延时函数
  49. {
  50.         int a,b;
  51.         for(a=xms;a>0;a--)
  52.                 for(b=110;b>0;b--);
  53. }
  54. void write_com(uchar com)                //写命令函数
  55. {
  56.         lcdrs=0;
  57.         P0=com;       
  58.         delay(1);
  59.         lcden=1;
  60.         delay(1);                                                                           
  61.         lcden=0;
  62. }

  63. void write_date(uchar date)                //写数据函数
  64. {
  65.         lcdrs=1;
  66.         P0=date;
  67.         delay(1);
  68.         lcden=1;
  69.         delay(1);
  70.         lcden=0;
  71. }

  72. //自定义字符集
  73. void Lcd_ram()      
  74. {
  75.         uint i,j,k=0,temp=0x40;
  76.         for(i=0;i<7;i++)
  77.         {
  78.            for(j=0;j<8;j++)
  79.            {
  80.             write_com(temp+j);
  81.             write_date(zifu[k]);
  82.             k++;
  83.            }
  84.            temp=temp+8;
  85.         }
  86. }

  87. void init_lcd()                                        //初始化函数
  88. {
  89.         uchar i;                                                                  
  90.         lcden=0;                                        //默认开始状态为关使能端,见时序图  
  91.         Lcd_ram();
  92.         write_com(0x0f);
  93.         write_com(0x38);                        //显示模式设置,默认为0x38,不用变。
  94.         write_com(0x01);                        //显示清屏,将上次的内容清除,默认为0x01.
  95.         write_com(0x0c);                        //显示功能设置0x0f为开显示,显示光标,光标闪烁;0x0c为开显示,不显光标,光标不闪
  96.         write_com(0x06);                        //设置光标状态默认0x06,为读一个字符光标加1.
  97.         write_com(0x80);                        //设置初始化数据指针,是在读指令的操作里进行的
  98.         for(i=10;i<20;i++)                           //显示初始化
  99.         {
  100.                 write_date(table1[i]);
  101.         }
  102.         write_com(0x80+0x40);
  103.         for(i=0;i<9;i++)
  104.         {
  105.                 write_date(table1[i]);
  106.         }
  107.         write_com(0x80+10);
  108.         write_date(0);
  109.         write_date(1);
  110.         write_date(0);
  111.         write_date(1);
  112.         write_date(0);
  113.         write_date(1);
  114.         write_com(0x80+0x40+0x09);
  115.         write_date(' ');
  116.         write_date('1');
  117.         write_date('0');
  118.         write_date('.');
  119.         write_date('0');
  120.         write_date('H');
  121.         write_date('z');
  122. }
  123. void initclock()                           //时钟初始化
  124. {
  125.         TMOD=0x01;
  126.         TH0=a;
  127.         TL0=b;
  128.         EA=1;
  129.         ET0=1;
  130.         TR0=1;
  131. }
  132. void display()                                   //显示函数
  133. {
  134.         uchar qian,bai,shi,ge;
  135.         qian=pinlv/1000;
  136.         bai=pinlv%1000/100;
  137.         shi=pinlv%1000%100/10;
  138.         ge=pinlv%1000%100%10;
  139.         write_com(0x80+0x40+0x09);

  140.            if(qian==0)
  141.         write_date(' ');
  142.         else
  143.         write_date(table[qian]);
  144.         if(qian==0&&bai==0)
  145.         write_date(' ');
  146.         else
  147.         write_date(table[bai]);
  148.         write_date(table[shi]);
  149.         write_date('.');
  150.         write_date(table[ge]);
  151.         write_date('H');
  152.         write_date('z');
  153.         if(boxing==0)
  154.         {
  155.                 write_com(0x80+10);
  156.                 write_date(0);
  157.                 write_date(1);
  158.                 write_date(0);
  159.                 write_date(1);
  160.                 write_date(0);
  161.                 write_date(1);
  162.                 led3=1;
  163.                 led0=0;
  164.         }
  165.         if(boxing==1)
  166.         {
  167.                 write_com(0x80+10);
  168.                 write_date(2);
  169.                 write_date(3);
  170.                 write_date(2);
  171.                 write_date(3);
  172.                 write_date(2);
  173.                 write_date(3);
  174.                 led0=1;
  175.                 led1=0;
  176.         }


  177.         if(boxing==2)
  178.         {
  179.                 write_com(0x80+10);
  180.                 write_date(4);
  181.                 write_date(5);
  182.                 write_date(4);
  183.                 write_date(5);
  184.                 write_date(4);
  185.                 write_date(5);
  186.                 led1=1;
  187.                 led2=0;
  188.         }
  189.         if(boxing==3)
  190.         {
  191.                 write_com(0x80+10);
  192.                 write_date(6);
  193.                 write_date(6);
  194.                 write_date(6);
  195.                 write_date(6);
  196.                 write_date(6);
  197.                 write_date(6);
  198.                 led2=1;
  199.                 led3=0;
  200.         }

  201. }
  202. void keyscan()                                        //键盘检测函数
  203. {
  204.         if(s1==0)
  205.         {         
  206.                 EA=0;
  207.                 delay(2);
  208.                 if(s1==0)
  209.                 {
  210.                         while(!s1);
  211.                         pinlv+=bujin;
  212.                         if(pinlv>1000)
  213.                         {
  214.                                 pinlv=100;
  215.                         }
  216.                         display();
  217.                         m=65536-(150000/pinlv);
  218.                         a=m/256;
  219.                         b=m%256;
  220.                         EA=1;
  221.                 }
  222.         }
  223.         if(s2==0)
  224.         {         
  225.                
  226.                 delay(5);
  227.                 if(s2==0)
  228.                 {
  229.                         EA=0;       
  230.                         while(!s2);
  231.                         pinlv-=bujin;
  232.                         if(pinlv<100)
  233.                         {
  234.                                 pinlv=1000;
  235.                         }
  236.                         display();
  237.                         m=65536-(150000/pinlv);
  238.                         a=m/256;
  239.                         b=m%256;       
  240.                         EA=1;
  241.                 }
  242.         }
  243.         if(s3==0)
  244.         {         
  245.                 delay(5);
  246.                 if(s3==0)
  247.                 {
  248.                         EA=0;
  249.                         while(!s3);
  250.                         boxing++;
  251.                         if(boxing>=4)
  252.                         {
  253.                                 boxing=0;
  254.                         }
  255.                         display();
  256.                         EA=1;
  257.                 }
  258.         }       
  259. }
  260. void bujindisplay()
  261. {
  262.         uint bai,shi,ge;
  263.         bai=bujin1/100;
  264.         shi=bujin1%100/10;
  265.         ge=bujin1%100%10;
  266.         write_com(0x80+11);
  267.         if(bai==0)
  268.         write_date(' ');
  269.         else
  270.         write_date(table[bai]);
  271.         write_date(table[shi]);
  272.         write_date('.');
  273.         write_date(table[ge]);
  274. }
  275. void bujinjiance()
  276. {
  277.         if(s4==0)
  278.         {
  279.                 delay(5);
  280.                 if(s4==0)
  281.                 {
  282.                          while(!s4);
  283.                          h++;
  284.                          if(h==1)
  285.                          {
  286.                                   write_com(0x01);
  287.                                  write_com(0x80);
  288.                                  write_date('S');delay(1);          //step value
  289.                                  write_date('t');delay(1);
  290.                                  write_date('e');delay(1);
  291.                                  write_date('p');delay(1);
  292.                                  write_date(' ');delay(1);
  293.                                  write_date('v');delay(1);
  294.                                  write_date('a');delay(1);
  295.                                  write_date('l');delay(1);
  296.                                  write_date('u');delay(1);
  297.                                  write_date('e');delay(1);
  298.                                  write_date(':');delay(1);
  299.                                  bujin1=bujin;
  300.                                  
  301.                                  bujindisplay();
  302.                          }
  303.                          if(h==2)
  304.                          {
  305.                                  h=0;
  306.                                 bujin=bujin1;
  307.                                
  308.                                 init_lcd();
  309.                                 initclock();
  310.                                 display();
  311.                          }
  312.                 }
  313.         }
  314.         if(h==1)
  315.         {
  316.                 if(s1==0)
  317.                 {
  318.                         delay(5);
  319.                         if(s1==0)
  320.                         {
  321.                                 while(!s1);
  322.                                 bujin1++;
  323.                                 if(bujin1>=101)
  324.                                 {
  325.                                         bujin1=1;
  326.                                 }
  327.                                 bujindisplay();
  328.                         }
  329.                 }
  330.                 if(s2==0)
  331.                 {
  332.                         delay(5);
  333.                         if(s2==0)
  334.                         {
  335.                                 while(!s2);
  336.                                 bujin1--;
  337.                                 if(bujin1<=0)
  338.                                 {
  339. ……………………

  340. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码
0.png
所有资料51hei提供下载:
仿真及程序.zip (106.25 KB, 下载次数: 282)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:130743 发表于 2018-5-23 23:21 | 显示全部楼层
此帖仅作者可见

使用道具 举报

ID:372858 发表于 2018-7-16 21:06 | 显示全部楼层
此帖仅作者可见

使用道具 举报

ID:427814 发表于 2018-11-16 16:59 | 显示全部楼层
此帖仅作者可见

使用道具 举报

ID:250209 发表于 2019-5-1 22:40 | 显示全部楼层
此帖仅作者可见

使用道具 举报

ID:537018 发表于 2019-5-16 18:02 | 显示全部楼层
此帖仅作者可见

使用道具 举报

ID:540662 发表于 2019-5-17 14:34 | 显示全部楼层
此帖仅作者可见

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表