找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 13378|回复: 12
收起左侧

基于STC89C52单片机电风扇智能调速器的设计 含仿真与实物图及源码等资料

  [复制链接]
ID:203025 发表于 2018-4-8 14:01 | 显示全部楼层 |阅读模式
本设计为一种温控风扇系统,具有灵敏的温度感测和显示功能,系统STC89C52单片机作为控制平台对风扇转速进行控制。可由用户设置高、低温度值,测得温度值在高低温度之间时打开风扇弱风档,当温度升高超过所设定的温度时自动切换到大风档,当温度小于所设定的温度时自动关闭风扇,控制状态随外界温度而定。所设高低温值保存在温度传感器DS18B20内部E2ROM中,掉电后仍然能保存上次设定值,性能稳定,控制准确。

电路原理图如下:
截图格式 双击可以打.png

仿真图如下:
仿真截图.jpg

元件清单:
0.jpg

制作出来的实物图:
0.jpg 0.jpg 0.jpg 0.jpg 0.jpg

部分文件:
D7)}EH0%4}N$@E1DPBB$}YS.png

单片机源程序如下:
  1. #include <reg52.h>                 //调用单片机头文件
  2. #define uchar unsigned char  //无符号字符型 宏定义        变量范围0~255
  3. #define uint  unsigned int         //无符号整型 宏定义        变量范围0~65535

  4. //数码管段选定义      0     1    2    3    4    5        6         7          8           9        
  5. uchar code smg_du[]={0x28,0xee,0x42,0x72,0xe5,0xa8,0x41,0x77,0x20,0xa0,
  6.                                            0x60,0x25,0x39,0x26,0x31,0x71,0xff};         //断码
  7. //数码管位选定义
  8. uchar code smg_we[]={0xef,0xdf,0xbf,0x7f};
  9. uchar dis_smg[4] = {0};        
  10. uchar smg_i = 3;    //显示数码管的个位数
  11. sbit dq   = P2^4;        //18b20 IO口的定义

  12. bit flag_lj_en;                 //按键连加使能
  13. bit flag_lj_3_en;         //按键连3次连加后使能  加的数就越大了
  14. uchar key_time,key_value;      //用做连加的中间变量
  15. bit key_500ms  ;
  16. sbit pwm = P2^3;  
  17. uchar f_pwm_l ;          //

  18. uint temperature ;  //
  19. bit flag_300ms ;
  20. uchar menu_1;       //菜单设计的变量
  21. uint t_high = 300,t_low = 100;           //温度上下限值

  22. /***********************1ms延时函数*****************************/
  23. void delay_1ms(uint q)
  24. {
  25.         uint i,j;
  26.         for(i=0;i<q;i++)
  27.                 for(j=0;j<120;j++);
  28. }

  29. /***********************小延时函数*****************************/
  30. void delay_uint(uint q)
  31. {
  32.         while(q--);
  33. }


  34. /***********************数码显示函数*****************************/
  35. void display()
  36. {
  37.         static uchar i;   
  38.         i++;
  39.         if(i >= smg_i)
  40.                 i = 0;        
  41.         P1 = 0xff;                         //消隐
  42.         P3 = smg_we[i];                          //位选
  43.         P1 = dis_smg[i];                 //段选               

  44. }

  45. /***********************18b20初始化函数*****************************/
  46. void init_18b20()
  47. {
  48.         bit q;
  49.         dq = 1;                                //把总线拿高
  50.         delay_uint(1);            //15us
  51.         dq = 0;                                //给复位脉冲
  52.         delay_uint(80);                //750us
  53.         dq = 1;                                //把总线拿高 等待
  54.         delay_uint(10);                //110us
  55.         q = dq;                                //读取18b20初始化信号
  56.         delay_uint(20);                //200us
  57.         dq = 1;                                //把总线拿高 释放总线
  58. }

  59. /*************写18b20内的数据***************/
  60. void write_18b20(uchar dat)
  61. {
  62.         uchar i;
  63.         for(i=0;i<8;i++)
  64.         {                                         //写数据是低位开始
  65.                 dq = 0;                         //把总线拿低写时间隙开始
  66.                 dq = dat & 0x01; //向18b20总线写数据了
  67.                 delay_uint(5);         // 60us
  68.                 dq = 1;                         //释放总线
  69.                 dat >>= 1;
  70.         }        
  71. }

  72. /*************读取18b20内的数据***************/
  73. uchar read_18b20()
  74. {
  75.         uchar i,value;
  76.         for(i=0;i<8;i++)
  77.         {
  78.                 dq = 0;                         //把总线拿低读时间隙开始
  79.                 value >>= 1;         //读数据是低位开始
  80.                 dq = 1;                         //释放总线
  81.                 if(dq == 1)                 //开始读写数据
  82.                         value |= 0x80;
  83.                 delay_uint(5);         //60us        读一个时间隙最少要保持60us的时间
  84.         }
  85.         return value;                 //返回数据
  86. }

  87. /*************读取温度的值 读出来的是小数***************/
  88. uint read_temp()
  89. {
  90.         uint value;
  91.         uchar low;                           //在读取温度的时候如果中断的太频繁了,就应该把中断给关了,否则会影响到18b20的时序
  92.         init_18b20();                   //初始化18b20
  93.         write_18b20(0xcc);           //跳过64位ROM
  94.         write_18b20(0x44);           //启动一次温度转换命令
  95.         delay_uint(50);                   //500us

  96.         init_18b20();                   //初始化18b20
  97.         
  98.         write_18b20(0xcc);           //跳过64位ROM
  99.         write_18b20(0xbe);           //发出读取暂存器命令
  100.         
  101.         low = read_18b20();           //读温度低字节
  102.         value = read_18b20();  //读温度高字节
  103.         value <<= 8;                   //把温度的高位左移8位
  104.         value |= low;                   //把读出的温度低位放到value的低八位中
  105.         value *= 0.625;               //转换到温度值 小数
  106.         return value;                   //返回读出的温度 带小数
  107. }

  108. /*************定时器0初始化程序***************/
  109. void time_init()         
  110. {
  111.         EA   = 1;                   //开总中断
  112.         TMOD = 0X21;          //定时器0、定时器1工作方式1
  113.         ET0  = 1;                  //开定时器0中断
  114.         TR0  = 1;                  //允许定时器0定时

  115.         ET1  = 1;                  //开定时器0中断
  116.         TR1  = 1;                  //允许定时器0定时
  117. }

  118. /********************独立按键程序*****************/
  119. uchar key_can;         //按键值

  120. void key()         //独立按键程序
  121. {
  122.         static uchar key_new;
  123.         key_can = 20;                   //按键值还原
  124.         P2 |= 0x07;
  125.         if((P2 & 0x07) != 0x07)                //按键按下
  126.         {
  127.                 if(key_500ms == 1)        //连加
  128.                 {
  129.                         key_500ms = 0;
  130.                         key_new = 1;
  131.                 }
  132.                 delay_1ms(1);                     //按键消抖动
  133.                 if(((P2 & 0x07) != 0x07) && (key_new == 1))
  134.                 {                                                //确认是按键按下
  135.                         key_new = 0;
  136.                         switch(P2 & 0x07)
  137.                         {
  138.                                 case 0x06: key_can = 3; break;           //得到k2键值
  139.                                 case 0x05: key_can = 2; break;           //得到k3键值
  140.                                 case 0x02: key_can = 1; break;           //得到k4键值
  141.                         }
  142.                         flag_lj_en = 1;         //连加使能
  143.                 }                        
  144.         }
  145.         else
  146.         {
  147.                 if(key_new == 0)
  148.                 {
  149.                         key_new = 1;
  150.                         flag_lj_en = 0;                //关闭连加使能
  151.                         flag_lj_3_en = 0;        //关闭3秒后使能
  152.                         key_value = 0;                //清零
  153.                         key_time = 0;
  154.                         key_500ms = 0;
  155.                 }
  156.         }        
  157. }

  158. /****************按键处理数码管显示函数***************/
  159. void key_with()
  160. {
  161.         if(key_can == 1)          //设置键
  162.         {
  163.                 f_pwm_l = 30;
  164.                 menu_1 ++;
  165.                 if(menu_1 >= 3)
  166.                 {
  167.                         menu_1 = 0;
  168.                         smg_i = 3;                  //数码管显示3位
  169.                 }
  170.         }
  171.         if(menu_1 == 1)                        //设置高温
  172.         {
  173.                 smg_i = 4;                  //数码管显示4位
  174.                 if(key_can == 2)
  175.                 {
  176.                         if(flag_lj_3_en == 0)
  177.                                 t_high ++ ;                //按键按下未松开自动加三次        
  178.                         else
  179.                                 t_high += 10;        //按键按下未松开自动加三次之后每次自动加10
  180.                         if(t_high > 990)
  181.                                 t_high = 990;
  182.                 }
  183.                 if(key_can == 3)
  184.                 {
  185.                         if(flag_lj_3_en == 0)
  186.                                 t_high -- ;                //按键按下未松开自动减三次        
  187.                         else
  188.                                 t_high -= 10;        //按键按下未松开自动减三次之后每次自动减10
  189.                         if(t_high <= t_low)
  190.                                 t_high = t_low + 1;
  191.                 }
  192.                 dis_smg[0] = smg_du[t_high % 10];                   //取小数显示
  193.                 dis_smg[1] = smg_du[t_high / 10 % 10] & 0xdf;  //取个位显示
  194.                 dis_smg[2] = smg_du[t_high / 100 % 10] ;           //取十位显示
  195.                 dis_smg[3] = 0x64;         //H
  196.         }        
  197.         if(menu_1 == 2)                        //设置低温
  198.         {
  199.                 smg_i = 4;                  //数码管显示4位
  200.                 if(key_can == 2)
  201.                 {
  202.                         if(flag_lj_3_en == 0)
  203.                                 t_low ++ ;                        //按键按下未松开自动加三次        
  204.                         else
  205.                                 t_low += 10;                //按键按下未松开自动加三次之后每次自动加10
  206.                         if(t_low >= t_high)
  207.                                 t_low = t_high - 1;
  208.                 }
  209.                 if(key_can == 3)
  210.                 {
  211.                         if(flag_lj_3_en == 0)
  212.                                 t_low -- ;                        //按键按下未松开自动减三次        
  213.                         else
  214.                                 t_low -= 10;                //按键按下未松开自动加三次之后每次自动加10
  215.                         if(t_low <= 10)
  216.                                 t_low = 10;
  217.                 }
  218.                 dis_smg[0] = smg_du[t_low % 10];                   //取小数显示
  219.                 dis_smg[1] = smg_du[t_low / 10 % 10] & 0xdf;   //取个位显示
  220.                 dis_smg[2] = smg_du[t_low / 100 % 10] ;               //取十位显示
  221.                 dis_smg[3] = 0x3D;          //L
  222.         }        
  223. }  

  224. /****************风扇控制函数***************/
  225. void fengshan_kz()
  226. {
  227.         if(temperature >= t_high)          //风扇全开
  228.         {        
  229.                 TR1 = 1;
  230.                 pwm = 0;
  231.         }
  232.         else if((temperature < t_high)        && (temperature >= t_low))                   //风扇缓慢
  233.         {
  234.                 f_pwm_l = 60;         
  235.                 TR1 = 1;
  236.         }
  237.         else if(temperature < t_low)         //关闭风扇
  238.         {
  239.                 TR1 = 0;
  240.                 pwm = 1;
  241.         }                        
  242. }
  243.                

  244. /****************主函数***************/
  245. void main()
  246. {
  247.         time_init();                    //初始化定时器
  248.         while(1)
  249.         {               
  250.                 key();                                        //按键程序
  251.                 if(key_can < 10)
  252.                 {
  253.                         key_with();                        //设置温度        
  254.                 }
  255.                 if(flag_300ms == 1)            //300ms 处理一次温度程序
  256.                 {           
  257.                         flag_300ms = 0;        
  258.                         temperature = read_temp();        //先读出温度的值
  259.                         if(menu_1 == 0)
  260.                         {        
  261.                                 smg_i = 3;
  262.                                 dis_smg[0] = smg_du[temperature % 10];         //取温度的小数显示
  263.                                 dis_smg[1] = smg_du[temperature / 10 % 10] & 0xdf; //取温度的个位显示
  264.                                 dis_smg[2] = smg_du[temperature / 100 % 10] ;           //取温度的十位显示
  265.                         }
  266.                 }
  267.                 fengshan_kz();        //风扇控制函数
  268.         }
  269. }

  270. /*************定时器0中断服务程序***************/
  271. void time0_int() interrupt 1
  272. {        
  273.         static uchar value;                         //定时2ms中断一次
  274.         TH0 = 0xf8;
  275.         TL0 = 0x30;     //2ms
  276.         display();                //数码管显示函数
  277.         value++;         
  278.         if(value >= 150)
  279.         {
  280.                 value = 0;         
  281.                 flag_300ms = 1;
  282.         }
  283.         if(flag_lj_en == 1)           //按下按键使能
  284.         {
  285.                 key_time ++;
  286.                 if(key_time >= 250) //500ms
  287.                 {
  288.                         key_time = 0;
  289. ……………………

  290. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码
0.png

所有资料51hei提供下载:
基于STC89C52电风扇智能调速器的设计.rar (11.99 MB, 下载次数: 310)

评分

参与人数 2黑币 +65 收起 理由
都市郎 + 15 共享资料的黑币奖励!
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:458792 发表于 2019-1-1 22:30 | 显示全部楼层
大哥,段码好像是错的,您再看看
回复

使用道具 举报

ID:458792 发表于 2019-1-1 22:30 | 显示全部楼层
0x28,0xee,0x32,0xa2,0xe4,0xa1,0x21,0xea,0x20,0xa0,
                                           0x60,0x25,0x39,0x26,0x31,0x71,0xff
回复

使用道具 举报

ID:260698 发表于 2019-1-3 13:54 | 显示全部楼层
你这没法调速呀
回复

使用道具 举报

ID:419203 发表于 2019-5-20 22:13 | 显示全部楼层
仿真用什么打开呢请问?
回复

使用道具 举报

ID:543417 发表于 2019-5-21 04:47 | 显示全部楼层
还行
回复

使用道具 举报

ID:453739 发表于 2019-5-21 10:23 来自手机 | 显示全部楼层
暂且保存,到时候再研究~多谢分享
回复

使用道具 举报

ID:564484 发表于 2019-6-17 17:57 | 显示全部楼层
真棒! 太厉害了 有空学习一下
回复

使用道具 举报

ID:272625 发表于 2019-8-14 11:42 | 显示全部楼层
先下载,有空再研究
回复

使用道具 举报

ID:62514 发表于 2019-8-16 20:42 | 显示全部楼层
感谢楼主的分享
回复

使用道具 举报

ID:585365 发表于 2019-9-4 19:57 | 显示全部楼层
学习当中,谢谢
回复

使用道具 举报

ID:140183 发表于 2020-1-19 08:43 | 显示全部楼层
多谢啦!正想做一个抽烟的风扇
回复

使用道具 举报

ID:517951 发表于 2020-11-15 16:25 | 显示全部楼层
不错,值得学习。51hei有你更精彩!!
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表