找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4004|回复: 3
打印 上一主题 下一主题
收起左侧

51单片机 1602LCD计数显示实验

[复制链接]
跳转到指定楼层
楼主
ID:318620 发表于 2018-7-30 17:25 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
//按4X4键盘的F键 进入设定状态
//E D 键是前后移动键
//C按键是调整数值按键
#include "reg52.h"
#define uchar unsigned char
sbit RW=P2^1;
sbit RS=P2^0;
sbit E=P2^2;
bit at=0;
uchar code shen[]={"CLOCK!"};
uchar code word[]={0x30,0x31,0x32,0x33,0x34,0x35,0x36,0x37,0x38,0x39,0x3a,0x20};
uchar dispbuf[8],h,m,s,counter;
void delay()
{
  uchar i;
for(i=0;i<255;i++);
}



/*******写命令**********/
void lcd_wmc(uchar i)
{

  P0=i;
  RS=0;
  RW=0;
  E=0;
  delay();
  E=1;
}



/*******写数据***********/

void lcd_wmd(uchar i)
{

  P0=i;
  RS=1;
  RW=0;
  E=0;
  delay();
  E=1;
}




/*******初始化液晶*******/
void lcd_init()
{
  uchar i;
  lcd_wmc(0x01);
  lcd_wmc(0x38);
  lcd_wmc(0x0c);
  lcd_wmc(0x06);
  lcd_wmc(0xc9);
  for(i=0;i<6;i++)
  lcd_wmd(shen[i]);
  lcd_wmc(0xc0);
}





/*******更新缓冲区子程序*******/
void newbuf()
{
dispbuf[0]=s%10;
dispbuf[1]=s/10;
dispbuf[3]=m%10;
dispbuf[4]=m/10;
dispbuf[6]=h%10;
dispbuf[7]=h/10;
}



/*******显示子程序**********/
void disp(uchar dispadd)
{
   uchar tmp;
   lcd_wmc(dispadd);
   tmp=dispbuf[7];
   tmp=word[tmp];
   lcd_wmd(tmp);
   tmp=dispbuf[6];
   tmp=word[tmp];
   lcd_wmd(tmp);
   tmp=dispbuf[5];
   tmp=word[tmp];
   lcd_wmd(tmp);
   tmp=dispbuf[4];
   tmp=word[tmp];
   lcd_wmd(tmp);
   tmp=dispbuf[3];
   tmp=word[tmp];
   lcd_wmd(tmp);
   tmp=dispbuf[2];
   tmp=word[tmp];
   lcd_wmd(tmp);
   tmp=dispbuf[1];
   tmp=word[tmp];
   lcd_wmd(tmp);
   tmp=dispbuf[0];
   tmp=word[tmp];
   lcd_wmd(tmp);
}





/*********************键盘子程序***********************/

uchar keypro(void)
{
  uchar scanl,scanh;
  P1=0x0f;//先将所有行线拉低
  if((P1&0x0f)!=0x0f) //如果列线有变化
  {
    delay();//延迟一段时间。
    if((P1&0x0f)!=0x0f)//如果此时此刻列线还有变化,说明确实有按键按下
    {
      scanl=0xfe;
      while((scanl&0x10)!=0)
      {
        P1=scanl; //给P1口赋扫描码,每次只拉低一行
        if((P1&0xf0)!=0xf0) //如果判断为真,则说明找到了按键按下的行
        {
          scanh=(P1&0xf0)|0x0f; //计算识别码
          return (~scanh)+(~scanl);
         }
        else scanl=(scanl<<1)|0x01; //否则依次将第二,第三,第四行拉低

      }
    }
  }
  return 0;//没有按键  按下 返回0
}


/********************时间调整子程序********************/
void adjustime()
{
  uchar k;
  static uchar add;
  k=keypro();
  switch(k)
  {
   case 0x88: if(!at){add=0xc1;EA=0;lcd_wmc(0xc1);lcd_wmc(0x0f);at=1;}
               else {lcd_wmc(0xc0);lcd_wmc(0x0c);at=0;EA=1;} break;

   case 0x48:  if(at)
             {
       if(add==0xc1){add=0xc7; lcd_wmc(add);}
                 else {add=add-3;lcd_wmc(add);}
               }
         break;

  case 0x28:  if(at)
             {
               if(add==0xc7) {add=0xc1;lcd_wmc(add);}
                 else {add=add+3;lcd_wmc(add);}
           }
       break;

   case 0x18: if(at)
            {
              if(add==0xc1) h++;
                if(h==24)
          h=0;
                if(add==0xc4) m++;
         if(m==60)
           m=0;
                if(add==0xc7) s++;
          if(s==60)
           s=0;
           newbuf();
      disp(0xc0);
      lcd_wmc(add);
              }
               break;
  default: break;
  }
  if(k!=0)
   {
  while((P1&0xf0)!=0xf0)
  P1=0xf0;
}

}







/*********************初始化子程序**********************/
void init()
{

  TMOD=0x01;
  TH0=0x4c;
  TL0=0x00;
  EA=1;
  ET0=1;
  TR0=1;
  counter=0;
  h=12;m=0;s=0;
  dispbuf[2]=10;
  dispbuf[5]=10;
}







/***************************主程序************************/

void main(void)
{
  init();
  lcd_init();

  while(1)
  {
   adjustime();
   if(!at)
{
     //闪烁
      if(counter<10)        
      {
       dispbuf[2]=10;
       dispbuf[5]=10;
      }
      else
      {            
       dispbuf[2]=11;
       dispbuf[5]=11;
      }
      //更新显示缓冲区及调用显示程序
      if(counter==0)
      {
       newbuf();
       disp(0xc0);
       }
      else if(counter==10)
      disp(0xc0);  
    }
   }
}








/*************************定时器0的中断**********************/
void Time0() interrupt 1 using 2    //再次强调中断子程序执行时间越短越好
{
  TH0=(65536-46075)/256;
  TL0=(65536-46075)%256;
  counter++;
  if(counter==20)
  {
    s++;
  counter=0;
  if(s==60)
  {
    m++;
    s=0;
      if(m==60)
    {
      h++;
    m=0;
    if(h==24)
     h=0;
         }
       }

  }
}


1602LCD计数显示实验.rar

17.65 KB, 下载次数: 24, 下载积分: 黑币 -5

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:318620 发表于 2018-7-30 17:29 | 只看该作者
#include <REGX52.H>
#include "LCD1602.h"

unsigned char TempBuffer[10];
void IntToStr(unsigned int t, unsigned char *str, unsigned char n)
{
        unsigned char a[5]; char i, j;                                        
        a[0]=(t/10000)%10;         //取得整数值到数组                
        a[1]=(t/1000)%10;                                            
        a[2]=(t/100)%10;                                             
        a[3]=(t/10)%10;                                              
        a[4]=(t/1)%10;                                               
                                                      
        for(i=0; i<5; i++)         //转成ASCII码                     
                a[i]=a[i]+'0';                                           
        for(i=0; a[i]=='0' && i<=3; i++);                            
        for(j=5-n; j<i; j++)       //填充空格                        
                { *str=' ';  str++; }                                    
        for(; i<5; i++)                                              
                { *str=a[i]; str++; }  //加入有效的数字                  
        *str='\0';
}

void Delay1ms(unsigned int count)
{
        unsigned int i,j;
        for(i=0;i<count;i++)
        for(j=0;j<120;j++);
}

main()
{
        unsigned int Count = 0;
        LCD_Initial();
        GotoXY(0,0);
        Print("The 1602LCD Test");
        GotoXY(0,1);
        Print("      BY RICHMCU");

        while(1)
        {
                IntToStr(Count++,&TempBuffer[0],5);
                GotoXY(0,1);
                Print(&TempBuffer[0]);
                Delay1ms(500);
        }
}
回复

使用道具 举报

板凳
ID:318620 发表于 2018-7-30 17:30 | 只看该作者


#ifndef LCD_CHAR_1602_2005_4_9
#define LCD_CHAR_1602_2005_4_9

#include <intrins.h>

//Port Definitions**********************************************************
sbit LcdRs                = P2^0;
sbit LcdRw                = P2^1;
sbit LcdEn          = P2^2;
sfr  DBPort         = 0x80;                //P0=0x80,P1=0x90,P2=0xA0,P3=0xB0.数据端口

//内部等待函数**************************************************************************
unsigned char LCD_Wait(void)
{
        LcdRs=0;
        LcdRw=1;        _nop_();
        LcdEn=1;        _nop_();
while(DBPort&0x80);//在用Proteus仿真时,注意用屏蔽此语句,在调用GotoXY()时,会进入死循环,
                                                 //可能在写该控制字时,该模块没有返回写入完备命令,即DBPort&0x80==0x80
                                                 //实际硬件时打开此语句
        LcdEn=0;
        return DBPort;               
}
//向LCD写入命令或数据************************************************************
#define LCD_COMMAND                        0      // Command
#define LCD_DATA                        1      // Data
#define LCD_CLEAR_SCREEN        0x01      // 清屏
#define LCD_HOMING                  0x02      // 光标返回原点
void LCD_Write(bit style, unsigned char input)
{
        LcdEn=0;
        LcdRs=style;
        LcdRw=0;                _nop_();
        DBPort=input;        _nop_();//注意顺序
        LcdEn=1;                _nop_();//注意顺序
        LcdEn=0;                _nop_();
        LCD_Wait();       
}

//设置显示模式************************************************************
#define LCD_SHOW                        0x04    //显示开
#define LCD_HIDE                        0x00    //显示关          

#define LCD_CURSOR                        0x02         //显示光标
#define LCD_NO_CURSOR                0x00    //无光标                     

#define LCD_FLASH                        0x01    //光标闪动
#define LCD_NO_FLASH                0x00    //光标不闪动

void LCD_SetDisplay(unsigned char DisplayMode)
{
        LCD_Write(LCD_COMMAND, 0x08|DisplayMode);       
}

//设置输入模式************************************************************
#define LCD_AC_UP                        0x02
#define LCD_AC_DOWN                        0x00      // default

#define LCD_MOVE                        0x01      // 画面可平移
#define LCD_NO_MOVE                        0x00      //default

void LCD_SetInput(unsigned char InputMode)
{
        LCD_Write(LCD_COMMAND, 0x04|InputMode);
}

//移动光标或屏幕************************************************************
/*
#define LCD_CURSOR                0x02      
#define LCD_SCREEN                0x08
#define LCD_LEFT                0x00
#define LCD_RIGHT                0x04
void LCD_Move(unsigned char object, unsigned char direction)
{
        if(object==LCD_CURSOR)
                LCD_Write(LCD_COMMAND,0x10|direction);
        if(object==LCD_SCREEN)
                LCD_Write(LCD_COMMAND,0x18|direction);
}
*/
//初始化LCD************************************************************
void LCD_Initial()
{
        LcdEn=0;
        LCD_Write(LCD_COMMAND,0x38);           //8位数据端口,2行显示,5*7点阵
        LCD_Write(LCD_COMMAND,0x38);
        LCD_SetDisplay(LCD_SHOW|LCD_NO_CURSOR);    //开启显示, 无光标
        LCD_Write(LCD_COMMAND,LCD_CLEAR_SCREEN);   //清屏
        LCD_SetInput(LCD_AC_UP|LCD_NO_MOVE);       //AC递增, 画面不动
}

//************************************************************************
void GotoXY(unsigned char x, unsigned char y)
{
        if(y==0)
                LCD_Write(LCD_COMMAND,0x80|x);
        if(y==1)
                LCD_Write(LCD_COMMAND,0x80|(x-0x40));
}
void Print(unsigned char *str)
{
        while(*str!='\0')
        {
                LCD_Write(LCD_DATA,*str);
                str++;
        }
}

void LCD_Print(unsigned char x, unsigned char y, unsigned char *str)
{
  GotoXY(x,y);
  Print(str);
}


/*
void LCD_LoadChar(unsigned char user[8], unsigned char place)
{
        unsigned char i;
        LCD_Write(LCD_COMMAND,0x40|(place*8));
        for(i=0; i<8; i++)
                LCD_Write(LCD_DATA,user[i]);
}
*/
//************************************************************************
#endif
回复

使用道具 举报

地板
ID:700372 发表于 2020-3-1 13:37 | 只看该作者
很不错。非常好用
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表