找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 3752|回复: 0
打印 上一主题 下一主题
收起左侧

单片机驱动16*64点阵屏循环左移源程序+仿真

[复制链接]
跳转到指定楼层
楼主
一个程序希望有用
仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)


单片机源程序如下:
  1. #include<reg52.h>
  2. #include<intrins.h>
  3. sbit LSA=P2^0;
  4. sbit LSB=P2^1;
  5. sbit LSC=P2^2;
  6. sbit LSD=P2^3;

  7. sbit YJ_SCK=P3^0;
  8. sbit YJ_RCK=P3^1;
  9. sbit YJ_SA1=P3^2;

  10. void RowLight(row);                                                                //刷新行
  11. void WriteContent(char dat);     //写入函数

  12. unsigned char code tt1[]={
  13.        
  14. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",0*/

  15. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",1*/

  16. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",2*/

  17. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",3*/

  18. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",4*/

  19. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",5*/

  20. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",6*/

  21. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",7*/

  22. 0x20,0x00,0x24,0x08,0x24,0x48,0x24,0x84,0xFE,0x7F,0x23,0x02,0x22,0x41,0x20,0x40,
  23. 0x20,0x20,0xFF,0x13,0x20,0x0C,0x22,0x14,0x2C,0x22,0xA0,0x41,0x20,0xF8,0x00,0x00,/*"?",8*/       
  24.        
  25. 0x00,0x10,0x02,0x08,0x02,0x04,0x02,0x02,0x02,0x01,0x82,0x00,0x42,0x00,0xF2,0xFF,
  26. 0x0E,0x00,0x42,0x00,0x82,0x00,0x02,0x01,0x02,0x02,0x02,0x0C,0x00,0x00,0x00,0x00,/*"?",0*/

  27. 0x10,0x20,0x12,0x60,0xF2,0x3F,0x92,0x22,0x92,0x12,0x9A,0x12,0xF6,0xFF,0x10,0x10,
  28. 0x80,0x80,0x70,0x40,0x8F,0x33,0x08,0x0C,0x08,0x33,0xF8,0x40,0x08,0x80,0x00,0x00,/*"?",1*/

  29. 0x00,0x01,0x80,0x00,0x60,0x00,0xF8,0xFF,0x07,0x00,0x10,0x00,0xC8,0xFF,0x54,0x12,
  30. 0x52,0x92,0xD1,0xFF,0x12,0x00,0x94,0x5F,0x08,0x80,0xD0,0x7F,0x10,0x00,0x00,0x00,/*"?",2*/

  31. 0xE0,0x01,0x00,0x00,0xFF,0xFF,0x10,0x10,0xE4,0x09,0x24,0x05,0xFF,0xFF,0x24,0x05,
  32. 0xE4,0x19,0x10,0x80,0xE8,0x4F,0x27,0x20,0xB4,0x1F,0x2C,0x20,0xE0,0xCF,0x00,0x00,/*"?",3*/

  33. 0x00,0x00,0x00,0x00,0x00,0x58,0x00,0x38,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  34. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*",",4*/
  35.        
  36.         0x00,0x81,0x00,0x41,0x00,0x21,0x7F,0x1D,0x49,0x21,0x49,0x41,0x49,0x81,0x49,0xFF,
  37. 0x49,0x89,0x49,0x89,0x49,0x89,0x7F,0x89,0x00,0x89,0x00,0x81,0x00,0x81,0x00,0x00,/*"?",0*/

  38. 0x00,0x00,0xFE,0xFF,0x02,0x40,0x42,0x50,0x42,0x48,0x42,0x44,0x42,0x43,0xFA,0x40,
  39. 0x42,0x41,0x42,0x42,0x42,0x44,0x42,0x58,0x02,0x40,0xFE,0xFF,0x00,0x00,0x00,0x00,/*"?",5*/

  40. 0x00,0x80,0x20,0x40,0x22,0x20,0x2C,0x10,0x20,0x08,0x20,0x06,0xE0,0x01,0x3F,0x00,
  41. 0x20,0x01,0x20,0x46,0x20,0x80,0x20,0x40,0xE0,0x3F,0x00,0x00,0x00,0x00,0x00,0x00,/*"?",6*/

  42. 0x40,0x00,0x40,0x40,0x42,0x20,0xCC,0x1F,0x00,0x20,0x00,0x42,0x02,0x41,0x82,0x40,
  43. 0x42,0x40,0xF2,0x5F,0x0E,0x40,0x42,0x40,0x82,0x40,0x02,0x43,0x00,0x40,0x00,0x00,/*"?",7*/

  44. 0x00,0x00,0x02,0x02,0xF2,0x82,0x92,0x92,0x92,0x9A,0xFE,0x56,0x92,0x63,0x92,0x22,
  45. 0x92,0x32,0xFE,0x4A,0x92,0x46,0x92,0x42,0xF2,0x82,0x02,0x02,0x00,0x00,0x00,0x00,/*"?",8*/

  46. 0x80,0x40,0x40,0x40,0x30,0x42,0x1E,0x42,0x10,0x42,0x10,0x42,0x10,0x42,0xFF,0x7F,
  47. 0x10,0x42,0x10,0x42,0x10,0x42,0x10,0x42,0x10,0x42,0x10,0x40,0x00,0x40,0x00,0x00,/*"?",9*/

  48. 0x10,0x04,0x60,0x04,0x02,0x7E,0x8C,0x01,0x00,0x00,0x20,0x00,0x24,0xFE,0x24,0x42,
  49. 0x24,0x42,0xFE,0x43,0x22,0x42,0x23,0x42,0x22,0xFE,0x20,0x00,0x20,0x00,0x00,0x00,/*"?",10*/

  50. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",11*/

  51. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",12*/

  52. 0x00,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,
  53. 0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x00,0x00,0x00,0x00,/*"?,13*/

  54. 0x00,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,
  55. 0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x00,0x00,0x00,0x00,/*"?,14*/

  56. 0x00,0x40,0x02,0x40,0x42,0x44,0x62,0x44,0x52,0x44,0x4A,0x44,0x46,0x44,0x42,0x7F,
  57. 0x42,0x44,0x42,0x44,0x52,0x44,0x62,0x44,0xC2,0x44,0x02,0x40,0x00,0x40,0x00,0x00,/*"?",15*/

  58. 0x00,0x10,0x02,0x08,0x02,0x04,0x02,0x02,0x02,0x01,0x82,0x00,0x42,0x00,0xF2,0xFF,
  59. 0x0E,0x00,0x42,0x00,0x82,0x00,0x02,0x01,0x02,0x02,0x02,0x0C,0x00,0x00,0x00,0x00,/*"?",16*/

  60. 0x80,0x00,0x90,0x80,0x8C,0x80,0x84,0x84,0x84,0x46,0x84,0x49,0xF5,0x28,0x86,0x10,
  61. 0x84,0x10,0x84,0x2C,0x84,0x23,0x84,0x40,0x94,0x80,0x8C,0x00,0x80,0x00,0x00,0x00,/*"?",17*/

  62. 0x40,0x00,0x40,0x00,0x42,0x00,0x42,0x00,0x42,0x00,0x42,0x40,0x42,0x80,0xFE,0x7F,
  63. 0x42,0x00,0x42,0x00,0x42,0x00,0x42,0x00,0x42,0x00,0x40,0x00,0x40,0x00,0x00,0x00,/*"?",18*/

  64. 0x04,0x20,0x84,0x60,0x84,0x20,0xFC,0x1F,0x84,0x10,0x84,0x90,0x00,0x40,0xFE,0x23,
  65. 0x02,0x18,0x02,0x06,0xF2,0x01,0x02,0x7E,0x02,0x80,0xFE,0x83,0x00,0xE0,0x00,0x00,/*"?",19*/

  66. 0x00,0x04,0x08,0x04,0x30,0x02,0x00,0x01,0xFF,0xFF,0x20,0x80,0x20,0x40,0x20,0x30,
  67. 0x20,0x0E,0xFF,0x01,0x20,0x06,0x20,0x18,0x22,0x20,0x2C,0x40,0x20,0x80,0x00,0x00,/*"?",20*/

  68. 0x00,0x00,0xF8,0x7F,0x0C,0x21,0x0B,0x21,0x08,0x21,0x08,0x21,0xF8,0x7F,0x40,0x00,
  69. 0x30,0x00,0x8F,0x00,0x08,0x43,0x08,0x80,0x08,0x40,0xF8,0x3F,0x00,0x00,0x00,0x00,/*"?",21*/

  70. 0x00,0x00,0x00,0x00,0x00,0x00,0xF8,0xFF,0x88,0x44,0x8C,0x44,0x8A,0x44,0x89,0x44,
  71. 0x88,0x44,0x88,0x44,0x88,0x44,0xF8,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*"?",22*/

  72. 0x00,0x00,0x00,0x00,0x82,0x3F,0x82,0x40,0x82,0x40,0x82,0x40,0x82,0x40,0x82,0x40,
  73. 0x82,0x40,0x82,0x40,0x82,0x40,0xFE,0x40,0x00,0x40,0x00,0x78,0x00,0x00,0x00,0x00,/*"?",23*/

  74. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",0*/

  75. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",1*/

  76. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",2*/

  77. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*" ",3*/

  78. };





  79. unsigned char key;


  80. /**************************
  81. **********主函数***********
  82. **************************/
  83. main()
  84. {
  85.         unsigned char i;
  86.         unsigned int k,m;
  87.         char j;
  88.         m=sizeof(tt1);
  89.        
  90.         while(1)
  91.         {
  92.                 for(k=0;k<m;k=k+2)
  93.                
  94.                 for(i=0;i<16;i++)
  95.                 {       
  96.                         for(j=3;j>=0;j--)
  97.                         {
  98.                                 WriteContent(tt1[(32*j+2*i+1+k)%m]);
  99.                                 WriteContent(tt1[(32*j+2*i+k)%m]);
  100.                         }
  101.                         RowLight(i);
  102.                         YJ_RCK=1;
  103.                         _nop_();
  104.                         YJ_RCK=0;
  105.                 }
  106.         }
  107. }

  108. /**********************************
  109.       595输入
  110. **********************************/
  111. void WriteContent(char dat)
  112. {
  113.         char i;
  114.         for(i=0;i<8;i++)
  115.         {
  116.                 if(((dat<<i)&0x80)!=0)
  117.                 {
  118.                         YJ_SA1=1;
  119.                 }
  120.                 else
  121.                 {
  122.                         YJ_SA1=0;
  123.                 }
  124.                
  125.                 YJ_SCK=0;
  126.                 _nop_();
  127.                 YJ_SCK=1;
  128.                 _nop_();
  129.         }
  130. }

  131. /**************************************
  132. ************刷新行********************
  133. *************************************/
  134. void RowLight(row)
  135. {
  136.        
  137. switch(row)         
  138.                 {
  139.                         case(0):
  140.                                 LSA=0;LSB=0;LSC=0;LSD=0; break;//???0?
  141.                         case(1):
  142.                                 LSA=1;LSB=0;LSC=0;LSD=0; break;//???1?
  143.                         case(2):
  144.                                 LSA=0;LSB=1;LSC=0;LSD=0; break;//???2?
  145.                         case(3):
  146.                                 LSA=1;LSB=1;LSC=0;LSD=0; break;//???3?
  147.                         case(4):
  148.                                 LSA=0;LSB=0;LSC=1;LSD=0; break;//???4?
  149.                         case(5):
  150.                                 LSA=1;LSB=0;LSC=1;LSD=0; break;//???5?
  151.                         case(6):
  152.                                 LSA=0;LSB=1;LSC=1;LSD=0; break;//???6?
  153.                         case(7):
  154.                                 LSA=1;LSB=1;LSC=1;LSD=0; break;//???7?       
  155.                         case(8):
  156.                                 LSA=0;LSB=0;LSC=0;LSD=1; break;//???8?
  157.                         case(9):
  158.                                 LSA=1;LSB=0;LSC=0;LSD=1; break;//???9?
  159.                         case(10):
  160.                                 LSA=0;LSB=1;LSC=0;LSD=1; break;//???10?
  161.                         case(11):
  162.                                 LSA=1;LSB=1;LSC=0;LSD=1; break;//???11?
  163.                         case(12):
  164.                                 LSA=0;LSB=0;LSC=1;LSD=1; break;//???12?
  165.                         case(13):
  166.                                 LSA=1;LSB=0;LSC=1;LSD=1; break;//???13?
  167.                         case(14):
  168.                                 LSA=0;LSB=1;LSC=1;LSD=1; break;//???14?
  169.                         case(15):
  170.                                 LSA=1;LSB=1;LSC=1;LSD=1; break;//???15?       
  171.                
  172.                 }
  173.        
  174. }
复制代码

所有资料51hei提供下载:
%E5%BE%AA%E7%8E%AF%E5%B7%A6%E7%A7%BB.zip (87.48 KB, 下载次数: 96)


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表