找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 5111|回复: 6
打印 上一主题 下一主题
收起左侧

单片机液晶多功能电子台历的设计流程图与代码

  [复制链接]
跳转到指定楼层
楼主
ID:409924 发表于 2018-10-15 14:12 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1.设计目的

1学习AT89C52的原理及应用

2了解使用单片机处理复杂逻辑的方法

3学习时钟芯片DS1302的原理及应用

2.设计任务

系统中时钟模块主要由DS1302时钟芯片组成,为整个系统提供非常精确的时间数据;温度传感器模块主要由DS18B20芯片组成,该模块的主要作用就是获得周围环境的温度值;液晶显示模块主要由12864液晶显示器组成,用于显示日期、时间、温度等参数,也可以通过按键来调整各个参数。

基本要求

(1)显示年月日(含闰年)、时分秒、农历、生肖和节日等;

(2)具有闹钟,提醒节日功能;

(3)有温度显示功能;

(4)电子钟具备校时,定时功能。


  • 高级要求
    • 设置闹钟,根据设定的年、月、日自动匹配生肖、农历、星期以及节日提醒等功能。设计者可以对该设计进行功能扩展,比如增加室内湿度的检测及显示;增加将要被调整时间的位置提示,如闪烁提示等。

3.设计原理图

液晶多功能电子台历设计流程图


单片机源程序如下:
  1. #include <AT89X52.h>
  2. #include <string.h>
  3. #include <intrins.h>
  4. #include "SoundPlay.h"         //音乐文件
  5. #define uchar unsigned char
  6. #define uint unsigned int
  7. /*****************************************************************************/
  8. //扬声器定义
  9. sbit              beep   = P3^7;           //扬声器
  10. /*****************************************************************************/
  11. //温度传感器定义
  12. sbit DQ = P2 ^ 0;              //ds18B20
  13. uint tvalue;                  //温度值
  14. uchar tflag,flagdat,t,hh1;       //温度正负标志
  15. /*****************************************************************************/
  16. //键盘引脚定义
  17. //sbit KEY_1 = P2^7;                     //左上
  18. sbit KEY_2 = P2^6;                         //左下
  19. sbit KEY_3 = P2^5;                         //右上
  20. sbit KEY_4 = P2^4;                         //右下
  21. /****************************************************************************/
  22. //LCD接口定义
  23. sbit RS = P1^5;             //模式位,为0输入指令,为1输入数据
  24. sbit RW = P1^6;           //读写位,为0读,为1写
  25. sbit E = P1^7;              //使能位
  26. #define Lcd_Bus P0         //数据总线
  27. /*****************************************************************************/
  28. //定义DS1302时钟接口
  29. sbit clock_clk = P2 ^ 1;          //ds1302_clk(时钟线)
  30. sbit clock_dat = P2 ^ 2;         //ds1302_dat(数据线)
  31. sbit clock_Rst = P2 ^ 3;        //ds1302_Rst(复位线)
  32. //定义累加器A中的各位
  33. sbit a0   = ACC ^ 0;
  34. sbit a1   = ACC ^ 1;
  35. sbit a2   = ACC ^ 2;
  36. sbit a3   = ACC ^ 3;
  37. sbit a4   = ACC ^ 4;
  38. sbit a5   = ACC ^ 5;
  39. sbit a6   = ACC ^ 6;
  40. sbit a7   = ACC ^ 7;
  41. /****************************************************************************/
  42. //定义全局变量
  43. unsigned char yy,mo,dd,xq,hh,mm,ss,n,n1,n2,hh1,mm1,year,year1;//定义时间映射全局变量(专用寄存器)
  44. unsigned char shi,ge,sec_temp,min_temp,hour_temp,secl,selx,e=0;
  45. bit w = 0;                       //调时标志位
  46. static unsigned char menu = 0;     //定义静态小时更新用数据变量
  47. static unsigned char keys = 0;      //定义静态小时更新用数据变量
  48. static unsigned char timecount = 0;  //定义静态软件计数器变量
  49. /****************************************************************************/
  50. /***************************************************************************/
  51. //LCD驱动(DY12864CBL液晶显示屏并口驱动程序)
  52. /****************************************************************************/
  53. void chk_busy()
  54. {                       //检查忙位(底层)
  55.    RS=0;
  56.    RW=1;
  57.    E=1;
  58.    Lcd_Bus=0xff;
  59.    while((Lcd_Bus&0x80)==0x80);
  60.    E=0;
  61. }
  62. /****************************************************************************/
  63. void write_com(unsigned char cmdcode)
  64. {                         //写命令到LCD(底层)
  65.               chk_busy();
  66.               RS=0;
  67.               RW=0;
  68.               E=1;
  69.               Lcd_Bus=cmdcode;
  70.               E=0;
  71. }
  72. /****************************************************************************/
  73. void write_data(unsigned char Dispdata){              //写数据到LCD(底层)
  74.               chk_busy();
  75.               RS=1;
  76.               RW=0;
  77.               E=1;
  78.               Lcd_Bus=Dispdata;
  79.               E=0;
  80. }
  81. /****************************************************************************/
  82. void lcm_init()
  83. {                        //初始化LCD屏(被调用层)
  84.    write_com(0x30);       //选择8bit数据流
  85.    write_com(0x0c);       //开显示(无游标、不反白)
  86.    write_com(0x01);       //清除显示,并且设定地址指针为00H
  87. }
  88. /****************************************************************************/
  89. void lcm_w_word(unsigned char *s)
  90. {                        //向LCM发送一个字符串,长度64字符之内。(被调用层)
  91.               while(*s>0)
  92. {                                                                          //应用:lcm_w_word("您好!");
  93.                   write_data(*s);
  94.                   s++;
  95.    }
  96. }
  97. /******************************************************************************/
  98. void write_data1(unsigned char Dispdata)
  99. {                                  //写数据到LCD(底层)
  100.               chk_busy();
  101.               RS=1;
  102.               RW=0;
  103.               E=1;
  104.               Lcd_Bus=Dispdata;
  105.               DelayM(40);
  106.               E=0;
  107. }
  108. /*****************************************************************************/
  109. void lcm_w_word1(unsigned char *s)
  110. {                           //向LCM发送一个字符串,长度64字符之内。(被调用层)
  111.               while(*s>0)
  112. {                                                       //应用:lcm_w_word("您好!");
  113.                  write_data1(*s);
  114.                   s++;
  115.    }
  116. }
  117. /*************************************************************************/
  118. void lcm_w_test(bit i,unsigned char word)
  119. {                         //写指令或数据(被调用层)
  120.               if(i == 0)
  121. {
  122.                             write_com(word);   //写指令或数据(0,指令)
  123.               }else{
  124.                             write_data(word);    //写指令或数据(1,数据)
  125.               }
  126. }
  127. /**************************************************************** *****/
  128. void lcm_clr(void)
  129. {                         //清屏函数
  130.               lcm_w_test(0,0x01);            
  131. }
  132. /************************清理图片缓冲区*************************/
  133. void clear_img()
  134. {
  135.               uchar i,j;
  136.               for(i=0;i<32;i++)            
  137.               {
  138.                             write_com(0x80+i);
  139.                             write_com(0x80);                                                                                                                                   
  140.                             for(j=0;j<16;j++)
  141.                             {
  142.                                           write_data(0x00);
  143.                             }                           
  144.               }
  145.               for(i=0;i<32;i++)
  146.               {
  147.                             write_com(0x80+i);
  148.                             write_com(0x88);
  149.                             for(j=0;j<16;j++)
  150.                             {
  151.                                           write_data(0x00);
  152.                             }                           
  153.               }
  154. }
  155. /**************************************************************/
  156. unsigned char code BMP1[]={
  157. /*--  一幅图像 KISS- 正向取模,字节正序*/   
  158. /*--  宽度x高度=128x64  --*/  
  159. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  160. …………
  161. …………
  162. …………限于本文篇幅 余下代码请从51黑下载附件…………
  163. 0x2d,0x92,0xB5, //2099
  164. };
  165. //月份数据表
  166. code uchar day_code1[9]={0x0,0x1f,0x3b,0x5a,0x78,0x97,0xb5,0xd4,0xf3};
  167. code uint day_code2[3]={0x111,0x130,0x14e};
  168. /*
  169. 函数功能:输入BCD阳历数据,输出BCD阴历数据(只允许1901-2099年)
  170. 调用函数示例:Conversion(c_sun,year_sun,month_sun,day_sun)
  171. 如:计算2004年10月16日Conversion(0,0x4,0x10,0x16);
  172. c_sun,year_sun,month_sun,day_sun均为BCD数据,c_sun为世纪标志位,c_sun=0为21世
  173. 纪,c_sun=1为19世纪
  174. 调用函数后,原有数据不变,读c_moon,year_moon,month_moon,day_moon得出阴历BCD数据
  175. */
  176. bit c_moon;
  177. data uchar year_moon,month_moon,day_moon,week;
  178. /*子函数,用于读取数据表中农历月的大月或小月,如果该月为大返回1,为小返回0*/
  179. bit get_moon_day(uchar month_p,uint table_addr)
  180. {
  181. uchar temp;
  182. switch (month_p)
  183. {
  184. case 1:{temp=year_code[table_addr]&0x08;
  185. if (temp==0)return(0);else return(1);}
  186. case 2:{temp=year_code[table_addr]&0x04;
  187. if (temp==0)return(0);else return(1);}
  188. case 3:{temp=year_code[table_addr]&0x02;
  189. if (temp==0)return(0);else return(1);}
  190. case 4:{temp=year_code[table_addr]&0x01;
  191. if (temp==0)return(0);else return(1);}
  192. case 5:{temp=year_code[table_addr+1]&0x80;
  193. if (temp==0) return(0);else return(1);}
  194. case 6:{temp=year_code[table_addr+1]&0x40;
  195. if (temp==0)return(0);else return(1);}
  196. case 7:{temp=year_code[table_addr+1]&0x20;
  197. if (temp==0)return(0);else return(1);}
  198. case 8:{temp=year_code[table_addr+1]&0x10;
  199. if (temp==0)return(0);else return(1);}
  200. case 9:{temp=year_code[table_addr+1]&0x08;
  201. if (temp==0)return(0);else return(1);}
  202. case 10:{temp=year_code[table_addr+1]&0x04;
  203. if (temp==0)return(0);else return(1);}
  204. case 11:{temp=year_code[table_addr+1]&0x02;
  205. if (temp==0)return(0);else return(1);}
  206. case 12:{temp=year_code[table_addr+1]&0x01;
  207. if (temp==0)return(0);else return(1);}
  208. case 13:{temp=year_code[table_addr+2]&0x80;
  209. if (temp==0)return(0);else return(1);}
  210. }
  211. }
  212. /*
  213. 函数功能:输入BCD阳历数据,输出BCD阴历数据(只允许1901-2099年)
  214. 调用函数示例:Conversion(c_sun,year_sun,month_sun,day_sun)
  215. 如:计算2004年10月16日Conversion(0,0x4,0x10,0x16);
  216. c_sun,year_sun,month_sun,day_sun均为BCD数据,c_sun为世纪标志位,c_sun=0为21世
  217. 纪,c_sun=1为19世纪
  218. 调用函数后,原有数据不变,读c_moon,year_moon,month_moon,day_moon得出阴历BCD数据
  219. */
  220. void Conversion(bit c,uchar year,uchar month,uchar day)
  221. { //c=0 为21世纪,c=1 为19世纪 输入输出数据均为BCD数据
  222. uchar temp1,temp2,temp3,month_p;
  223. uint temp4,table_addr;
  224. bit flag2,flag_y;
  225. temp1=year/16; //BCD->hex 先把数据转换为十六进制
  226. temp2=year%16;
  227. year=temp1*10+temp2;
  228. temp1=month/16;
  229. temp2=month%16;
  230. month=temp1*10+temp2;
  231. temp1=day/16;
  232. temp2=day%16;
  233. day=temp1*10+temp2;
  234. //定位数据表地址
  235. if(c==0)
  236. {
  237. table_addr=(year)*0x3;
  238. }
  239. //else
  240. //{
  241. //table_addr=(year-1)*0x3;
  242. //}
  243. //定位数据表地址完成
  244. //取当年春节所在的公历月份
  245. temp1=year_code[table_addr+2]&0x60;
  246. temp1=_cror_(temp1,5);
  247. //取当年春节所在的公历月份完成
  248. //取当年春节所在的公历日
  249. temp2=year_code[table_addr+2]&0x1f;
  250. //取当年春节所在的公历日完成
  251. // 计算当年春年离当年元旦的天数,春节只会在公历1月或2月
  252. if(temp1==0x1)
  253. {
  254. temp3=temp2-1;
  255. }
  256. else
  257. {
  258. temp3=temp2+0x1f-1;
  259. }
  260. // 计算当年春年离当年元旦的天数完成
  261. //计算公历日离当年元旦的天数,为了减少运算,用了两个表
  262. //day_code1[9],day_code2[3]
  263. //如果公历月在九月或前,天数会少于0xff,用表day_code1[9],
  264. //在九月后,天数大于0xff,用表day_code2[3]
  265. //如输入公历日为8月10日,则公历日离元旦天数为day_code1[8-1]+10-1
  266. //如输入公历日为11月10日,则公历日离元旦天数为day_code2[11-10]+10-1
  267. if (month<10)
  268. {
  269. temp4=day_code1[month-1]+day-1;
  270. }
  271. else
  272. {
  273. temp4=day_code2[month-10]+day-1;
  274. }
  275. if ((month>0x2)&&(year%0x4==0))
  276. { //如果公历月大于2月并且该年的2月为闰月,天数加1
  277. temp4+=1;
  278. }
  279. //计算公历日离当年元旦的天数完成
  280. //判断公历日在春节前还是春节后
  281. if (temp4>=temp3)
  282. {                      //公历日在春节后或就是春节当日使用下面代码进行运算
  283. temp4-=temp3;
  284. month=0x1;
  285. month_p=0x1;  //month_p为月份指向,公历日在春节前或就是春节当日month_p指向首月
  286. flag2=get_moon_day(month_p,table_addr);
  287. //检查该农历月为大小还是小月,大月返回1,小月返回0
  288. flag_y=0;
  289. if(flag2==0)temp1=0x1d;         //小月29天
  290. else temp1=0x1e;               //大小30天
  291. temp2=year_code[table_addr]&0xf0;
  292. temp2=_cror_(temp2,4);  //从数据表中取该年的闰月月份,如为0则该年无闰月
  293. while(temp4>=temp1)
  294. {
  295. temp4-=temp1;
  296. month_p+=1;
  297. if(month==temp2)
  298. {
  299. flag_y=~flag_y;
  300. if(flag_y==0)
  301. month+=1;
  302. }
  303. else month+=1;
  304. flag2=get_moon_day(month_p,table_addr);
  305. if(flag2==0)temp1=0x1d;
  306. else temp1=0x1e;
  307. }
  308. day=temp4+1;
  309. }
  310. else
  311. {                      //公历日在春节前使用下面代码进行运算
  312. temp3-=temp4;
  313. if (year==0x0)
  314. {
  315. year=0x63;c=1;
  316. }
  317. else year-=1;
  318. table_addr-=0x3;
  319. month=0xc;
  320. temp2=year_code[table_addr]&0xf0;
  321. temp2=_cror_(temp2,4);
  322. if (temp2==0)
  323. month_p=0xc;
  324. else
  325. month_p=0xd; //
  326. /*month_p为月份指向,如果当年有闰月,一年有十三个月,月指向13,无闰月指向12*/
  327. flag_y=0;
  328. flag2=get_moon_day(month_p,table_addr);
  329. if(flag2==0)temp1=0x1d;
  330. else temp1=0x1e;
  331. while(temp3>temp1)
  332. {
  333. temp3-=temp1;
  334. month_p-=1;
  335. if(flag_y==0)month-=1;
  336. if(month==temp2)flag_y=~flag_y;
  337. flag2=get_moon_day(month_p,table_addr);
  338. if(flag2==0)temp1=0x1d;
  339. else temp1=0x1e;
  340. }
  341. day=temp1-temp3+1;
  342. }
  343. c_moon=c;                //HEX->BCD ,运算结束后,把数据转换为BCD数据
  344. temp1=year/10;
  345. temp1=_crol_(temp1,4);
  346. temp2=year%10;
  347. year_moon=temp1|temp2;
  348. temp1=month/10;
  349. temp1=_crol_(temp1,4);
  350. temp2=month%10;
  351. month_moon=temp1|temp2;
  352. temp1=day/10;
  353. temp1=_crol_(temp1,4);
  354. temp2=day%10;
  355. day_moon=temp1|temp2;
  356. }
  357. /*函数功能:输入BCD阳历数据,输出BCD星期数据(只允许1901-2099年)
  358. 调用函数示例:Conver_week(c_sun,year_sun,month_sun,day_sun)
  359. 如:计算2004年10月16日Conversion(0,0x4,0x10,0x16);
  360. c_sun,year_sun,month_sun,day_sun均为BCD数据,c_sun为世纪标志位,c_sun=0为21世
  361. 纪,c_sun=1为19世纪
  362. 调用函数后,原有数据不变,读week得出阴历BCD数据
  363. */
  364. code uchar table_week[12]={0,3,3,6,1,4,6,2,5,0,3,5}; //月修正数据表
  365. /*
  366. 算法:日期+年份+所过闰年数+月较正数之和除7 的余数就是星期但如果是在
  367. 闰年又不到3 月份上述之和要减一天再除7
  368. 星期数为0
  369. */
  370. /*void Conver_week(bit c,uchar year,uchar month,uchar day)
  371. {//c=0 为21世纪,c=1 为19世纪 输入输出数据均为BCD数据
  372. uchar temp1,temp2;
  373. temp1=year/16; //BCD->hex 先把数据转换为十六进制
  374. temp2=year%16;
  375. year=temp1*10+temp2;
  376. temp1=month/16;
  377. temp2=month%16;
  378. month=temp1*10+temp2;
  379. temp1=day/16;
  380. temp2=day%16;
  381. day=temp1*10+temp2;
  382. if (c==0){year+=0x64;} //如果为21世纪,年份数加100
  383. temp1=year/0x4; //所过闰年数只算1900年之后的
  384. temp2=year+temp1;
  385. temp2=temp2%0x7; //为节省资源,先进行一次取余,避免数大于0xff,避免使用整型数据
  386. temp2=temp2+day+table_week[month-1];
  387. if (year%0x4==0&&month<3)temp2-=1;
  388. week=temp2%0x7;
  389. }*/
  390. //test
  391. uchar c_sun,year_sun,month_sun,day_sun;
  392. /****************************************************************************************************************************
  393. 函数功能: 二十四节气数据库
  394. 入口参数: unsigned char(yy,mo,dd) 对应 年月日
  395. 出口参数: unsigned char(0-24) 1-24对应二十四节气
  396. 作者    : TOTOP
  397. 二十四节气数据库(1901--2050)
  398. 数据格式说明:  
  399. 如1901年的节气为  
  400.      1月    2月     3月     4月       5月      6月    7月     8月      9月    10月   11月    12月  
  401. [ 6,21][ 4,19][ 6,21][ 5,21][ 6,22][ 6,22][ 8,23][ 8,24][ 8,24][ 8,24][ 8,23][ 8,22]  
  402. [ 9, 6][11, 4][ 9, 6][10, 6][ 9, 7][ 9, 7][ 7, 8][ 7, 9][ 7, 9][ 7, 9][ 7, 8][ 7,15]  
  403. 上面第一行数据为每月节气对应公历日期,15减去每月第一个节气,每月第二个节气减去15得第二
  404. 行,这样每月两个节气对应数据都小于16,每月用一个字节存放,高位存放第一个节气数据,低位存
  405. 放第二个节气的数据,可得下表  
  406. ****************************************************************************************************************************/
  407. uchar code jieqi_code[]=
  408. {
  409.        0x96,0xB4,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x88,0x78,0x87,0x86,     //2000  
  410.        0xA5,0xB3,0xA5,0xA5,0xA6,0xA6,0x88,0x88,0x88,0x78,0x87,0x87,     //2001  
  411.        0xA5,0xB4,0x96,0xA5,0x96,0x96,0x88,0x78,0x78,0x78,0x87,0x87,     //2002  
  412.        0x95,0xB4,0x96,0xA5,0x96,0x97,0x88,0x78,0x78,0x69,0x78,0x87,     //2003  
  413.        0x96,0xB4,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x88,0x78,0x87,0x86,     //2004  
  414.        0xA5,0xB3,0xA5,0xA5,0xA6,0xA6,0x88,0x88,0x88,0x78,0x87,0x87,     //2005  
  415.        0xA5,0xB4,0x96,0xA5,0xA6,0x96,0x88,0x88,0x78,0x78,0x87,0x87,     //2006  
  416.        0x95,0xB4,0x96,0xA5,0x96,0x97,0x88,0x78,0x78,0x69,0x78,0x87,     //2007  
  417.        0x96,0xB4,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x87,0x78,0x87,0x86,     //2008  
  418.        0xA5,0xB3,0xA5,0xB5,0xA6,0xA6,0x88,0x88,0x88,0x78,0x87,0x87,     //2009  
  419.        0xA5,0xB4,0x96,0xA5,0xA6,0x96,0x88,0x88,0x78,0x78,0x87,0x87,     //2010  
  420.        0x95,0xB4,0x96,0xA5,0x96,0x97,0x88,0x78,0x78,0x79,0x78,0x87,     //2011  
  421.        0x96,0xB4,0xA5,0xB5,0xA5,0xA6,0x87,0x88,0x87,0x78,0x87,0x86,     //2012  
  422.        0xA5,0xB3,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x88,0x78,0x87,0x87,     //2013  
  423.        0xA5,0xB4,0x96,0xA5,0xA6,0x96,0x88,0x88,0x78,0x78,0x87,0x87,     //2014  
  424.        0x95,0xB4,0x96,0xA5,0x96,0x97,0x88,0x78,0x78,0x79,0x77,0x87,     //2015  
  425.        0x95,0xB4,0xA5,0xB4,0xA5,0xA6,0x87,0x88,0x87,0x78,0x87,0x86,     //2016  
  426.        0xA5,0xC3,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x88,0x78,0x87,0x87,     //2017  
  427.        0xA5,0xB4,0xA6,0xA5,0xA6,0x96,0x88,0x88,0x78,0x78,0x87,0x87,     //2018  
  428.        0xA5,0xB4,0x96,0xA5,0x96,0x96,0x88,0x78,0x78,0x79,0x77,0x87,     //2019  
  429.        0x95,0xB4,0xA5,0xB4,0xA5,0xA6,0x97,0x87,0x87,0x78,0x87,0x86,     //2020  
  430.        0xA5,0xC3,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x88,0x78,0x87,0x86,     //2021  
  431.        0xA5,0xB4,0xA5,0xA5,0xA6,0x96,0x88,0x88,0x88,0x78,0x87,0x87,     //2022  
  432.        0xA5,0xB4,0x96,0xA5,0x96,0x96,0x88,0x78,0x78,0x79,0x77,0x87,     //2023  
  433.        0x95,0xB4,0xA5,0xB4,0xA5,0xA6,0x97,0x87,0x87,0x78,0x87,0x96,     //2024  
  434.        0xA5,0xC3,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x88,0x78,0x87,0x86,     //2025  
  435.        0xA5,0xB3,0xA5,0xA5,0xA6,0xA6,0x88,0x88,0x88,0x78,0x87,0x87,     //2026  
  436.        0xA5,0xB4,0x96,0xA5,0x96,0x96,0x88,0x78,0x78,0x78,0x87,0x87,     //2027  
  437.        0x95,0xB4,0xA5,0xB4,0xA5,0xA6,0x97,0x87,0x87,0x78,0x87,0x96,     //2028  
  438.        0xA5,0xC3,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x88,0x78,0x87,0x86,     //2029  
  439.        0xA5,0xB3,0xA5,0xA5,0xA6,0xA6,0x88,0x88,0x88,0x78,0x87,0x87,     //2030  
  440.        0xA5,0xB4,0x96,0xA5,0x96,0x96,0x88,0x78,0x78,0x78,0x87,0x87,     //2031  
  441.        0x95,0xB4,0xA5,0xB4,0xA5,0xA6,0x97,0x87,0x87,0x78,0x87,0x96,     //2032  
  442.        0xA5,0xC3,0xA5,0xB5,0xA6,0xA6,0x88,0x88,0x88,0x78,0x87,0x86,     //2033  
  443.        0xA5,0xB3,0xA5,0xA5,0xA6,0xA6,0x88,0x78,0x88,0x78,0x87,0x87,     //2034  
  444.        0xA5,0xB4,0x96,0xA5,0xA6,0x96,0x88,0x88,0x78,0x78,0x87,0x87,     //2035  
  445.        0x95,0xB4,0xA5,0xB4,0xA5,0xA6,0x97,0x87,0x87,0x78,0x87,0x96,     //2036  
  446.        0xA5,0xC3,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x88,0x78,0x87,0x86,     //2037  
  447.        0xA5,0xB3,0xA5,0xA5,0xA6,0xA6,0x88,0x88,0x88,0x78,0x87,0x87,     //2038  
  448.        0xA5,0xB4,0x96,0xA5,0xA6,0x96,0x88,0x88,0x78,0x78,0x87,0x87,     //2039  
  449.        0x95,0xB4,0xA5,0xB4,0xA5,0xA6,0x97,0x87,0x87,0x78,0x87,0x96,     //2040  
  450.        0xA5,0xC3,0xA5,0xB5,0xA5,0xA6,0x87,0x88,0x87,0x78,0x87,0x86,     //2041  
  451.        0xA5,0xB3,0xA5,0xB5,0xA6,0xA6,0x88,0x88,0x88,0x78,0x87,0x87,     //2042  
  452.        0xA5,0xB4,0x96,0xA5,0xA6,0x96,0x88,0x88,0x78,0x78,0x87,0x87,     //2043  
  453.        0x95,0xB4,0xA5,0xB4,0xA5,0xA6,0x97,0x87,0x87,0x88,0x87,0x96,     //2044  
  454.        0xA5,0xC3,0xA5,0xB4,0xA5,0xA6,0x87,0x88,0x87,0x78,0x87,0x86,     //2045  
  455.        0xA5,0xB3,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x88,0x78,0x87,0x87,     //2046  
  456.        0xA5,0xB4,0x96,0xA5,0xA6,0x96,0x88,0x88,0x78,0x78,0x87,0x87,     //2047  
  457.        0x95,0xB4,0xA5,0xB4,0xA5,0xA5,0x97,0x87,0x87,0x88,0x86,0x96,     //2048  
  458.        0xA4,0xC3,0xA5,0xA5,0xA5,0xA6,0x97,0x87,0x87,0x78,0x87,0x86,     //2049  
  459.        0xA5,0xC3,0xA5,0xB5,0xA6,0xA6,0x87,0x88,0x78,0x78,0x87,0x87,     //2050
  460.     };
  461. uchar jieqi (uchar y2,m2,d2)
  462. {
  463. uchar temp,d,y,y1,m;
  464. uint addr;
  465. d=d2/16*10+d2%16;
  466. m=m2/16*10+m2%16;
  467. y1=y2/16*10+y2%16+2000;
  468. y=y1-2000;
  469. addr=y*12+m-1;
  470. if(d<15)
  471. {
  472. temp=15-d;
  473. if((jieqi_code[addr]>>4)==temp) return (m*2-1);
  474. else return (0);
  475. }
  476. if(d==15) return (0);
  477. if(d>15)
  478. {
  479. temp=d-15;
  480. if((jieqi_code[addr]&0x0f)==temp) return (m*2);
  481. else return (0);
  482. }
  483. }

  484. /******************************************************************************/
  485. //公历节日数据库表
  486. /******************************************************************************/
  487. void days ()                      //公历节日数据库
  488.        {               
  489.         uchar j;
  490.         j=jieqi(yy,mo,dd);
  491.                             lcm_w_test(0,0x98);        //在屏幕第四行
  492.                             //家人生日,纪念日
  493.                             if(t/2%2==0)//设置变化的时间,默认是2秒
  494.                             {
  495.                             if ( month_moon== 0x06 && day_moon== 0x02 ){ lcm_w_word("后天是   的生日"); }
  496.                             if ( month_moon== 0x06 && day_moon== 0x03 ){ lcm_w_word("明天是   的生日"); }
  497.                             if ( month_moon== 0x06 && day_moon== 0x04 ){ lcm_w_word("今天是   的生日"); }
  498.                             if ( month_moon== 0x10 && day_moon== 0x07 ){ lcm_w_word("后天是   的生日"); }
  499.                             if ( month_moon== 0x10 && day_moon== 0x08 ){ lcm_w_word("明天是   的生日"); }
  500.                             if ( month_moon== 0x10 && day_moon== 0x09 ){ lcm_w_word("今天是   的生日"); }
  501.                             if ( month_moon== 0x08 && day_moon== 0x01 ){ lcm_w_word("后天是    生日!"); }
  502.                             if ( month_moon== 0x08 && day_moon== 0x02 ){ lcm_w_word("明天是    生日!"); }
  503.                             if ( month_moon== 0x08 && day_moon== 0x03 ){ lcm_w_word("今天是    生日!"); }

  504.                             //农历节日
  505.    else if ( month_moon== 0x12 && day_moon== 0x29 ){ lcm_w_word("    明天大年  "); }
  506.                             if ( month_moon== 0x12 && day_moon== 0x30 ){ lcm_w_word("   今天大年  "); }
  507.                             if ( month_moon== 0x05 && day_moon== 0x05 ){ lcm_w_word(" 今天是端午节"); }
  508.                             if ( month_moon== 0x08 && day_moon== 0x15 ){ lcm_w_word(" 今天是中秋节"); }
  509.                             if ( month_moon== 0x01 && day_moon== 0x15 ){ lcm_w_word(" 今天是元宵节"); }
  510.                             if ( month_moon== 0x02 && day_moon== 0x02 ){ lcm_w_word(" 今天是龙抬头"); }
  511.                             if ( month_moon== 0x07 && day_moon== 0x07 ){ lcm_w_word(" 今天是七夕  "); }
  512.                             if ( month_moon== 0x07 && day_moon== 0x15 ){ lcm_w_word("   今天是鬼节"); }
  513.                             if ( month_moon== 0x09 && day_moon== 0x09 ){ lcm_w_word(" 今天是重阳节"); }
  514.                             if ( month_moon== 0x12 && day_moon== 0x08 ){ lcm_w_word(" 今天是腊八节"); }
  515.                             //二十四节气
  516.    else if (j==1){ lcm_w_word("    今天小寒    "); }
  517.         if (j==2){ lcm_w_word("    今天大寒    "); }
  518.         if (j==3){ lcm_w_word("    今天立春    "); }
  519.         if (j==4){ lcm_w_word("    今天雨水    "); }
  520.         if (j==5){ lcm_w_word("    今天惊蛰    "); }
  521.         if (j==6){ lcm_w_word("    今天春分    "); }
  522.         if (j==7){ lcm_w_word("    今天清明    "); }
  523.         if (j==8){ lcm_w_word("    今天谷雨    "); }
  524.         if (j==9){ lcm_w_word("    今天立夏    "); }
  525.         if (j==10){ lcm_w_word("    今天小满    "); }
  526.         if (j==11){ lcm_w_word("    今天芒种    "); }
  527.         if (j==12){ lcm_w_word("    今天夏至    "); }
  528.         if (j==13){ lcm_w_word("    今天小暑    "); }
  529.         if (j==14){ lcm_w_word("    今天大暑    "); }
  530.         if (j==15){ lcm_w_word("    今天立秋    "); }
  531.         if (j==16){ lcm_w_word("    今天处暑    "); }
  532.         if (j==17){ lcm_w_word("    今天白露    "); }
  533.         if (j==18){ lcm_w_word("    今天秋分    "); }
  534.         if (j==19){ lcm_w_word("    今天寒露    "); }
  535.         if (j==20){ lcm_w_word("    今天霜降    "); }
  536.         if (j==21){ lcm_w_word("    今天立冬    "); }
  537.         if (j==22){ lcm_w_word("    今天小雪    "); }
  538.         if (j==23){ lcm_w_word("    今天大雪    "); }
  539.         if (j==24){ lcm_w_word("    今天冬至    "); }
  540.                             //国立节日                                                                                                               
  541.    else if ( mo == 0x01 && dd == 0x01 ){ lcm_w_word("    新年快乐!  "); }//1月
  542.                             if ( mo == 0x01 && dd == 0x28 ){ lcm_w_word("今天是世界麻风日"); }
  543.                            
  544.                             if ( mo == 0x02 && dd == 0x02 ){ lcm_w_word("今天是世界湿地日"); }//2月
  545.                             if ( mo == 0x02 && dd == 0x13 ){ lcm_w_word("  明天情人节了  "); }                           
  546.                             if ( mo == 0x02 && dd == 0x14 ){ lcm_w_word("  今天是情人节  "); }
  547.                                                       
  548.                             if ( mo == 0x03 && dd == 0x01 ){ lcm_w_word("今天是国际海豹日"); }//3月                           
  549.                             if ( mo == 0x03 && dd == 0x03 ){ lcm_w_word("今天是全国爱耳日"); }                           
  550.                             if ( mo == 0x03 && dd == 0x08 ){ lcm_w_word("今天是3.8 妇女节"); }                           
  551.                             if ( mo == 0x03 && dd == 0x12 ){ lcm_w_word("  今天是植树节  "); }                           
  552.                             if ( mo == 0x03 && dd == 0x14 ){ lcm_w_word("今天是国际警察日"); }                           
  553.                             if ( mo == 0x03 && dd == 0x15 ){ lcm_w_word("今天消费者权益日"); }                           
  554.                             if ( mo == 0x03 && dd == 0x17 ){ lcm_w_word("今天是国际航海日"); }                           
  555.                             if ( mo == 0x03 && dd == 0x21 ){ lcm_w_word("今天是世界森林日"); }                           
  556.                             if ( mo == 0x03 && dd == 0x22 ){ lcm_w_word("今天是世界水日!"); }                           
  557.                             if ( mo == 0x03 && dd == 0x23 ){ lcm_w_word("今天是世界气象日"); }                           
  558.                             if ( mo == 0x03 && dd == 0x24 ){ lcm_w_word("世界防治结核病日"); }
  559.                                                       
  560.                             if ( mo == 0x04 && dd == 0x01 ){ lcm_w_word("愚人节,小心上当"); }//4                           
  561.                             if ( mo == 0x04 && dd == 0x07 ){ lcm_w_word("今天是世界卫生日"); }                           
  562.                             if ( mo == 0x04 && dd == 0x08 ){ lcm_w_word("  今天复活节    "); }                           
  563.                             if ( mo == 0x04 && dd == 0x13 ){ lcm_w_word("  黑色星期五    "); }
  564.                                                       
  565.                             if ( mo == 0x05 && dd == 0x01 ){ lcm_w_word("  今天是劳动节  "); }//5                           
  566.                             if ( mo == 0x05 && dd == 0x04 ){ lcm_w_word("今天是五四青年节"); }                           
  567.                             if ( mo == 0x05 && dd == 0x08 ){ lcm_w_word("今天世界红十字日"); }                           
  568.                             if ( mo == 0x05 && dd == 0x12 ){ lcm_w_word("今天是国际护士节"); }                           
  569.                             if ( mo == 0x05 && dd == 0x05 ){ lcm_w_word("  近日注意母亲节"); }                           
  570.                             if ( mo == 0x05 && dd == 0x15 ){ lcm_w_word("今天是国际家庭日"); }
  571.                             if ( mo == 0x05 && dd == 0x31 ){ lcm_w_word("今天是世界无烟日"); }                           
  572.                                                       
  573.                             if ( mo == 0x06 && dd == 0x01 ){ lcm_w_word("今天是国际儿童节"); }//6
  574.                             if ( mo == 0x06 && dd == 0x05 ){ lcm_w_word("今天是世界环境日"); }                           
  575.                             if ( mo == 0x06 && dd == 0x26 ){ lcm_w_word("今天是国际禁毒日"); }                           
  576.                             if ( mo == 0x06 && dd == 0x06 ){ lcm_w_word("今天是全国爱眼日"); }                           
  577.                             if ( mo == 0x06 && dd == 0x13 ){ lcm_w_word("  近日注意父亲节"); }
  578.                             if ( mo == 0x06 && dd == 0x15 ){ lcm_w_word("  近日注意父亲节"); }
  579.                                                       
  580.                             if ( mo == 0x07 && dd == 0x01 ){ lcm_w_word("  香港回归记念日"); }//7
  581.                             if ( mo == 0x07 && dd == 0x07 ){ lcm_w_word("  抗日战争记念日"); }
  582.                             if ( mo == 0x07 && dd == 0x11 ){ lcm_w_word("今天是世界人口日"); }

  583.                             if ( mo == 0x08 && dd == 0x01 ){ lcm_w_word("今天是八一建军节"); }//8
  584.                             if ( mo == 0x08 && dd == 0x08 ){ lcm_w_word("今天是中国男子节"); }
  585.                             if ( mo == 0x08 && dd == 0x15 ){ lcm_w_word("抗战胜利记念日!"); }

  586.                             if ( mo == 0x09 && dd == 0x10 ){ lcm_w_word("  今天是教师节  "); }//9
  587.                             if ( mo == 0x09 && dd == 0x18 ){ lcm_w_word("九·一八事变记念"); }
  588.                             if ( mo == 0x09 && dd == 0x20 ){ lcm_w_word("今天是国际爱牙日"); }
  589.                             if ( mo == 0x09 && dd == 0x27 ){ lcm_w_word("今天是世界旅游日"); }

  590.                             if ( mo == 0x10 && dd == 0x01 ){ lcm_w_word("  今天是国庆节  "); }//10
  591.                             if ( mo == 0x10 && dd == 0x04 ){ lcm_w_word("今天是世界动物日"); }
  592.                             if ( mo == 0x10 && dd == 0x24 ){ lcm_w_word("今天是联合国日!"); }
  593.                             if ( mo == 0x10 && dd == 0x12 ){ lcm_w_word("明天国际教师节!"); }
  594.                             if ( mo == 0x10 && dd == 0x13 ){ lcm_w_word("今天是国际教师节"); }

  595.                             if ( mo == 0x11 && dd == 0x10 ){ lcm_w_word("今天是世界青年节"); }//11
  596.                             if ( mo == 0x11 && dd == 0x17 ){ lcm_w_word("今天是世界学生节"); }

  597.                             if ( mo == 0x12 && dd == 0x01 ){ lcm_w_word("今天世界艾滋病日"); }//12
  598.                             if ( mo == 0x12 && dd == 0x06 ){ lcm_w_word("  阳历生日快乐  "); }
  599.                             if ( mo == 0x12 && dd == 0x23 ){ lcm_w_word("    明晚平安夜  "); }
  600.                             if ( mo == 0x12 && dd == 0x24 ){ lcm_w_word("    今晚平安夜  "); }
  601.                             if ( mo == 0x12 && dd == 0x25 ){ lcm_w_word("    圣诞快乐    "); }
  602.                             if ( mo == 0x12 && dd == 0x31 ){ lcm_w_word("    明日新年    "); }
  603.                             }
  604.                             else{//非节日时显示时晨信息

  605.             if ( hh >= 0x04 && hh <  0x06 ){ lcm_w_word("  凌晨  点  分  ");
  606.                                           lcm_w_test(0,0x9b);
  607.                                           if(hh1/10 != 0){lcm_w_test(1,(hh1/10)+0x30);}              //十位消隐
  608.                                           else{lcm_w_test(1,0x20);}//同上            
  609.                                 lcm_w_test(1,hh1%10+0x30);
  610.                                 lcm_w_test(0,0x9d);                //":"
  611.                                 if(mm/16 != 0){lcm_w_test(1,(mm/16)+0x30);}              //十位消隐
  612.                                           else{lcm_w_test(1,0x20);}//同上            
  613.                       lcm_w_test(1,mm%16+0x30); }
  614.                                           if ( hh >= 0x06 && hh <  0x08 ){ lcm_w_word("  早晨  点  分  ");
  615.                                           lcm_w_test(0,0x9b);
  616.                                           if(hh1/10 != 0){lcm_w_test(1,(hh1/10)+0x30);}              //十位消隐
  617.                                           else{lcm_w_test(1,0x20);}//同上            
  618.                                 lcm_w_test(1,hh1%10+0x30);
  619.                                 lcm_w_test(0,0x9d);                //":"
  620.                                 if(mm/16 != 0){lcm_w_test(1,(mm/16)+0x30);}              //十位消隐
  621.                                           else{lcm_w_test(1,0x20);}//同上            
  622.                       lcm_w_test(1,mm%16+0x30); }
  623.                                           if ( hh >= 0x08 && hh <  0x12 ){ lcm_w_word("  上午  点  分  ");
  624.                                           lcm_w_test(0,0x9b);
  625.                                           if(hh1/10 != 0){lcm_w_test(1,(hh1/10)+0x30);}              //十位消隐
  626.                                           else{lcm_w_test(1,0x20);}//同上            
  627.                                 lcm_w_test(1,hh1%10+0x30);
  628.                                 lcm_w_test(0,0x9d);                //":"
  629.                                 if(mm/16 != 0){lcm_w_test(1,(mm/16)+0x30);}              //十位消隐
  630.                                           else{lcm_w_test(1,0x20);}//同上            
  631.                       lcm_w_test(1,mm%16+0x30); }
  632.                                           if ( hh == 0x12)               { lcm_w_word("  中午  点  分  ");
  633.                                           lcm_w_test(0,0x9b);
  634.                                           if(hh1/10 != 0){lcm_w_test(1,(hh1/10)+0x30);}              //十位消隐
  635.                                           else{lcm_w_test(1,0x20);}//同上            
  636.                                 lcm_w_test(1,hh1%10+0x30);
  637.                                 lcm_w_test(0,0x9d);                //":"
  638.                                 if(mm/16 != 0){lcm_w_test(1,(mm/16)+0x30);}              //十位消隐
  639.                                           else{lcm_w_test(1,0x20);}//同上            
  640.                       lcm_w_test(1,mm%16+0x30); }
  641.                                           if ( hh >= 0x13 && hh <  0x18 ){ lcm_w_word("  下午  点  分  ");
  642.                                           lcm_w_test(0,0x9b);
  643.                                           if(hh1/10 != 0){lcm_w_test(1,(hh1/10)+0x30);}              //十位消隐
  644.                                           else{lcm_w_test(1,0x20);}//同上            
  645.                                 lcm_w_test(1,hh1%10+0x30);
  646.                                 lcm_w_test(0,0x9d);                //":"
  647.                                 if(mm/16 != 0){lcm_w_test(1,(mm/16)+0x30);}              //十位消隐
  648.                                           else{lcm_w_test(1,0x20);}//同上            
  649.                       lcm_w_test(1,mm%16+0x30); }
  650.                                           if ( hh >= 0x18 && hh <  0x22 ){ lcm_w_word("  晚上  点  分  ");
  651.                                           lcm_w_test(0,0x9b);
  652.                                           if(hh1/10 != 0){lcm_w_test(1,(hh1/10)+0x30);}              //十位消隐
  653.                                           else{lcm_w_test(1,0x20);}//同上            
  654.                                 lcm_w_test(1,hh1%10+0x30);
  655.                                 lcm_w_test(0,0x9d);                //":"
  656.                                 if(mm/16 != 0){lcm_w_test(1,(mm/16)+0x30);}              //十位消隐
  657.                                           else{lcm_w_test(1,0x20);}//同上            
  658.                       lcm_w_test(1,mm%16+0x30); }
  659.                                           if ( hh >= 0x22 && hh <= 0x23 ){ lcm_w_word("  夜里  点  分 ");
  660.                                           lcm_w_test(0,0x9b);
  661.                                           if(hh1/10 != 0){lcm_w_test(1,(hh1/10)+0x30);}              //十位消隐
  662.                                           else{lcm_w_test(1,0x20);}//同上            
  663.                                 lcm_w_test(1,hh1%10+0x30);
  664.                                 lcm_w_test(0,0x9d);                //":"
  665.                                 if(mm/16 != 0){lcm_w_test(1,(mm/16)+0x30);}              //十位消隐
  666.                                           else{lcm_w_test(1,0x20);}//同上            
  667.                       lcm_w_test(1,mm%16+0x30); }
  668.                                           if ( hh >= 0x00 && hh <  0x04 ){ lcm_w_word("  深夜  点  分  ");
  669.                                           lcm_w_test(0,0x9b);
  670.                                           if(hh1/10 != 0){lcm_w_test(1,(hh1/10)+0x30);}              //十位消隐
  671.                                           else{lcm_w_test(1,0x20);}//同上            
  672.                                 lcm_w_test(1,hh1%10+0x30);
  673.                                 lcm_w_test(0,0x9d);                //":"
  674.                                 if(mm/16 != 0){lcm_w_test(1,(mm/16)+0x30);}              //十位消隐
  675.                                           else{lcm_w_test(1,0x20);}//同上            
  676.                       lcm_w_test(1,mm%16+0x30); }

  677.                             }
  678. }
  679. /*******************ds1820程序***************************************/
  680. void delay_18B20(unsigned int i)        //延时1微秒
  681. {
  682.    while(i--);
  683. }
  684. void ds1820rst()//ds1820复位*
  685. { unsigned char x=0;
  686. DQ = 1;                            //DQ复位
  687. delay_18B20(4);                    //延时
  688. DQ = 0;                           //DQ拉低
  689. delay_18B20(100);                 //精确延时大于480us
  690. DQ = 1;                           //拉高
  691. delay_18B20(40);
  692.    }

  693.    unsigned char ds1820rd()           //读数据
  694. { unsigned char i=0;
  695. unsigned char dat = 0;
  696. for (i=8;i>0;i--)
  697. {   DQ = 0; //给脉冲信号
  698.     dat>>=1;
  699.     DQ = 1; //给脉冲信号
  700.     if(DQ)
  701.     dat|=0x80;
  702.     delay_18B20(10);
  703. }
  704.    return(dat);
  705. }
  706. void ds1820wr(uchar wdata)              //写数据
  707. {unsigned char i=0;
  708.     for (i=8; i>0; i--)
  709.    { DQ = 0;
  710.      DQ = wdata&0x01;
  711.      delay_18B20(10);
  712.      DQ = 1;
  713.      wdata>>=1;
  714.    }
  715. }
  716. read_temp()                 //读取温度值并转换
  717. {uchar a,b;
  718. ds1820rst();   
  719. ds1820wr(0xcc);               //跳过读序列号
  720. ds1820wr(0x44);                //启动温度转换
  721. ds1820rst();   
  722. ds1820wr(0xcc);                //跳过读序列号
  723. ds1820wr(0xbe);               //读取温度
  724. a=ds1820rd();
  725. b=ds1820rd();
  726. tvalue=b;
  727. tvalue<<=8;
  728. tvalue=tvalue|a;
  729.     if(tvalue<0x0fff)
  730.    tflag=0;
  731.     else
  732.    {tvalue=~tvalue+1;
  733. tflag=1;
  734.    }
  735. tvalue=tvalue*(0.625);        //温度值扩大10倍,精确到1位小数
  736. return(tvalue);
  737. }
  738. /************************************************************************/
  739. //声明(当各函数的排列适当时可不用声明)
  740. void lcm_w_ss(void);void lcm_w_mm(void);
  741. void lcm_w_hh(void);void lcm_w_dd(void);
  742. void lcm_w_mo(void);void lcm_w_yy(void);
  743. void lcm_w_xq(void);
  744. unsigned char clock_in(void);
  745. void clock_out(unsigned char dd);
  746. void Init_1302(void);
  747. unsigned char read_clock(unsigned char ord);
  748. void read_clockS(void);
  749. void Set_time(unsigned char sel);
  750. void write_clock(unsigned char ord, unsigned char dd);
  751. void updata (void);
  752. void lcmnongli();
  753. void lcmjieqi();
  754. void lcmshengxiao();
  755. /*****************************农历显示***************************************/
  756. void lcmnongli()
  757. {
  758. uchar yue,ri;
  759. year_sun=yy;
  760. month_sun=mo;
  761. day_sun=dd;
  762. Conversion(c_sun,year_sun,month_sun,day_sun);
  763. yue=(month_moon/16)*10+month_moon%16;
  764. year1=yue;
  765. ri=(day_moon/16)*10+day_moon%16;
  766. lcm_w_test(0,0x90);                //显示农历月
  767.   if(yue==1){  lcm_w_word("正");}
  768.   if(yue==2){  lcm_w_word("二");}
  769.   if(yue==3){  lcm_w_word("三");}
  770.   if(yue==4){  lcm_w_word("四");}
  771.   if(yue==5){  lcm_w_word("五");}
  772.   if(yue==6){  lcm_w_word("六");}
  773.   if(yue==7){  lcm_w_word("七");}
  774.   if(yue==8){  lcm_w_word("八");}
  775.   if(yue==9){  lcm_w_word("九");}
  776.   if(yue==10){  lcm_w_word("十");}
  777.   if(yue==11){  lcm_w_word("冬");}
  778.   if(yue==12){  lcm_w_word("腊");}
  779.   lcm_w_test(0,0x91);
  780.   lcm_w_word("月");
  781.   lcm_w_test(0,0x92);                 //显示农历日
  782.   if(ri<=10)
  783.    {
  784.     if(ri==1){  lcm_w_word("初一");}
  785.     if(ri==2){  lcm_w_word("初二");}
  786.     if(ri==3){  lcm_w_word("初三");}
  787.     if(ri==4){  lcm_w_word("初四");}
  788.     if(ri==5){  lcm_w_word("初五");}
  789.     if(ri==6){  lcm_w_word("初六");}
  790.     if(ri==7){  lcm_w_word("初七");}
  791.     if(ri==8){  lcm_w_word("初八");}
  792.     if(ri==9){  lcm_w_word("初九");}
  793.     if(ri==10){  lcm_w_word("初十");}
  794.    }
  795.   else
  796.    {
  797.     if(ri==11){  lcm_w_word("十一");}
  798.     if(ri==12){  lcm_w_word("十二");}
  799.     if(ri==13){  lcm_w_word("十三");}
  800.     if(ri==14){  lcm_w_word("十四");}
  801.     if(ri==15){  lcm_w_word("十五");}
  802.     if(ri==16){  lcm_w_word("十六");}
  803.     if(ri==17){  lcm_w_word("十七");}
  804.     if(ri==18){  lcm_w_word("十八");}
  805.     if(ri==19){  lcm_w_word("十九");}
  806.     if(ri==20){  lcm_w_word("二十");}
  807.     if(ri==21){  lcm_w_word("廿一");}
  808.     if(ri==22){  lcm_w_word("廿二");}
  809.     if(ri==23){  lcm_w_word("廿三");}
  810.     if(ri==24){  lcm_w_word("廿四");}
  811.     if(ri==25){  lcm_w_word("廿五");}
  812.     if(ri==26){  lcm_w_word("廿六");}
  813.     if(ri==27){  lcm_w_word("廿七");}
  814.     if(ri==28){  lcm_w_word("廿八");}
  815.     if(ri==29){  lcm_w_word("廿九");}
  816.     if(ri==30){  lcm_w_word("三十");}
  817.    }
  818. }
  819. /******************************************************************************
  820. void lcmjieqi()
  821. {
  822. uchar j;
  823. j=jieqi(yy,mo,dd);
  824. lcm_w_test(0,0x9e);              //在屏幕第2行
  825. if (j==1){ lcm_w_word("小寒"); }
  826. if (j==2){ lcm_w_word("大寒"); }
  827. if (j==3){ lcm_w_word("立春"); }
  828. if (j==4){ lcm_w_word("雨水"); }
  829. if (j==5){ lcm_w_word("惊蛰"); }
  830. if (j==6){ lcm_w_word("春分"); }
  831. if (j==7){ lcm_w_word("清明"); }
  832. if (j==8){ lcm_w_word("谷雨"); }
  833. if (j==9){ lcm_w_word("立夏"); }
  834. if (j==10){ lcm_w_word("小满"); }
  835. if (j==11){ lcm_w_word("芒种"); }
  836. if (j==12){ lcm_w_word("夏至"); }
  837. if (j==13){ lcm_w_word("小暑"); }
  838. if (j==14){ lcm_w_word("大暑"); }
  839. if (j==15){ lcm_w_word("立秋"); }
  840. if (j==16){ lcm_w_word("处暑"); }
  841. if (j==17){ lcm_w_word("白露"); }
  842. if (j==18){ lcm_w_word("秋分"); }
  843. if (j==19){ lcm_w_word("寒露"); }
  844. if (j==20){ lcm_w_word("霜降"); }
  845. if (j==21){ lcm_w_word("立冬"); }
  846. if (j==22){ lcm_w_word("小雪"); }
  847. if (j==23){ lcm_w_word("大雪"); }
  848. if (j==24){ lcm_w_word("冬至"); }

  849. }
  850. /****************************十二生肖显示************************************/
  851. void lcmshengxiao()
  852. {
  853. uint y3;
  854. y3=(yy/16*10+yy%16+2000-1900)%12;
  855. if(year<6 & year1>6) y3--;
  856. switch(y3)
  857. {
  858.   case 0: lcm_w_test(0,0x86);lcm_w_word("子鼠");
  859.           break;
  860.   case 1: lcm_w_test(0,0x86);lcm_w_word("丑牛");
  861.           break;
  862.   case 2: lcm_w_test(0,0x86);lcm_w_word("寅虎");
  863.           break;
  864.   case 3: lcm_w_test(0,0x86);lcm_w_word("卯兔");
  865.           break;
  866.   case 4: lcm_w_test(0,0x86);lcm_w_word("辰龙");
  867.           break;
  868.   case 5: lcm_w_test(0,0x86);lcm_w_word("巳蛇");
  869.           break;
  870.   case 6: lcm_w_test(0,0x86);lcm_w_word("午马");
  871.           break;
  872.   case 7: lcm_w_test(0,0x86);lcm_w_word("未羊");
  873.           break;
  874.   case 8: lcm_w_test(0,0x86);lcm_w_word("申猴");
  875.           break;
  876.   case 9: lcm_w_test(0,0x86);lcm_w_word("酉鸡");
  877.           break;
  878.   case 10: lcm_w_test(0,0x86);lcm_w_word("戌狗");
  879.           break;
  880.   case 11: lcm_w_test(0,0x86);lcm_w_word("亥猪");
  881.           break;
  882. }
  883. }
  884. /************************* DS1302时钟芯片驱动程序*********************/
  885. //常用时钟数据读取
  886. void read_clockS(void){
  887.               ss = read_clock(0x81);//读取秒数据
  888.               mm = read_clock(0x83);//读取分钟数据
  889.               hh = read_clock(0x85);//小时
  890.               dd = read_clock(0x87);//日
  891.               mo = read_clock(0x89);//月
  892.               xq = read_clock(0x8b);//星期
  893.               yy = read_clock(0x8d);//年
  894. }
  895. /*****************************************************************************/
  896. //调时用加1程序
  897. void Set_time(unsigned char sel)//根据选择调整的相应项目加1并写入DS1302
  898. {
  899.   signed char address,item;
  900.   signed char max,mini;
  901.   lcm_w_test(0,0x9a);
  902.   lcm_w_word("调整");
  903.   if(sel==6)  {lcm_w_word("秒钟");address=0x80; max=59;mini=0;}     //秒7
  904.   if(sel==5)  {lcm_w_word("分钟");address=0x82; max=59;mini=0;}    //分钟6
  905.   if(sel==4)  {lcm_w_word("小时");address=0x84; max=23;mini=0;}    //小时5
  906.   if(sel==3)  {lcm_w_word("星期");address=0x8a; max=7;mini=1;}    //星期4
  907.   if(sel==2)  {lcm_w_word("日期");address=0x86; max=31;mini=1;}    //日3
  908.   if(sel==1)  {lcm_w_word("月份");address=0x88; max=12;mini=1;}    //月2
  909.   if(sel==0)  {lcm_w_word("年份");address=0x8c; max=99; mini=0;}    //年1
  910.   //读取1302某地址上的数值转换成10进制赋给item
  911.   item=((read_clock(address+1))/16)*10 + (read_clock(address+1))%16;
  912.   if(KEY_2 == 0)
  913.   {
  914.                 item++;//数加 1
  915.   }
  916.   if(KEY_4 == 0)
  917.   {
  918.                 item--;//数减 1
  919.   }
  920.   if(item>max) item=mini;//查看数值有效范围  
  921.   if(item<mini) item=max;         
  922.   write_clock(0x8e,0x00);//允许写操作
  923.   write_clock(address,(item/10)*16+item%10);//转换成16进制写入1302
  924.   write_clock(0x8e,0x80);//写保护,禁止写操作

  925. }
  926. /*****************************************************************************/
  927. //设置1302的初始时间(自动初始化)
  928. void Init_1302(void){//-设置1302的初始时间(2007年1月1日00时00分00秒星期一)
  929.               unsigned char f;
  930.               if(read_clock(0xc1) != 0xaa){
  931.                             write_clock(0x8e,0x00);//允许写操作
  932.                             write_clock(0x8c,0x07);//年
  933.                             write_clock(0x8a,0x01);//星期
  934.                             write_clock(0x88,0x01);//月
  935.                             write_clock(0x86,0x01);//日
  936.                             write_clock(0x84,0x00);//小时
  937.                             write_clock(0x82,0x00);//分钟
  938.                             write_clock(0x80,0x00);//秒
  939.                             write_clock(0x90,0xa5);//充电            
  940.                             write_clock(0xc0,0xaa);//写入初始化标志RAM(第00个RAM位置)
  941.                             for(f=0;f<60;f=f+2){//清除闹钟RAM位为0
  942.                                           write_clock(0xc2+f,0x00);
  943.                             }
  944.                             write_clock(0x8e,0x80);//禁止写操作
  945.               }
  946. }
  947. /*****************************************************************************/
  948. //DS1302写数据(底层协议)
  949. void write_clock(unsigned char ord, unsigned char dd){
  950.               clock_clk=0;
  951.               clock_Rst=0;
  952.               clock_Rst=1;
  953.               clock_out(ord);
  954.               clock_out(dd);
  955.               clock_Rst=0;
  956.               clock_clk=1;
  957. }
  958. /*****************************************************************************/
  959. //1302驱动程序(底层协议)
  960. void clock_out(unsigned char dd){
  961.               ACC=dd;
  962.               clock_dat=a0; clock_clk=1; clock_clk=0;
  963.               clock_dat=a1; clock_clk=1; clock_clk=0;
  964.               clock_dat=a2; clock_clk=1; clock_clk=0;
  965.               clock_dat=a3; clock_clk=1; clock_clk=0;
  966.               clock_dat=a4; clock_clk=1; clock_clk=0;
  967.               clock_dat=a5; clock_clk=1; clock_clk=0;
  968.               clock_dat=a6; clock_clk=1; clock_clk=0;
  969.               clock_dat=a7; clock_clk=1; clock_clk=0;
  970. }
  971. /*****************************************************************************/
  972. //DS1302写入字节(底层协议)
  973. unsigned char clock_in(void){
  974.               clock_dat=1;
  975.               a0=clock_dat;
  976.               clock_clk=1; clock_clk=0; a1=clock_dat;
  977.               clock_clk=1; clock_clk=0; a2=clock_dat;
  978.               clock_clk=1; clock_clk=0; a3=clock_dat;
  979.               clock_clk=1; clock_clk=0; a4=clock_dat;
  980.               clock_clk=1; clock_clk=0; a5=clock_dat;
  981.               clock_clk=1; clock_clk=0; a6=clock_dat;
  982.               clock_clk=1; clock_clk=0; a7=clock_dat;
  983.               return(ACC);
  984. }
  985. /*****************************************************************************/
  986. //DS1302读数据(底层协议)
  987. unsigned char read_clock(unsigned char ord){
  988.               unsigned char dd=0;
  989.               clock_clk=0;
  990.               clock_Rst=0;
  991.               clock_Rst=1;
  992.               clock_out(ord);
  993.               dd=clock_in();
  994.               clock_Rst=0;
  995.               clock_clk=1;
  996.               return(dd);
  997. }
  998. /*****************************************************************************/
  999. //扬声器驱动程序(闹钟音乐)
  1000. /*****************************************************************************/            
  1001. void Beep(void)
  1002. {                         //BELL-扬声器--整点报时
  1003.               unsigned char a;        //定义变量用于发声的长度设置
  1004.               for(a=60;a>0;a--)
  1005. {                       //第一个声音的长度
  1006.                             beep = ~beep;       //取反扬声器驱动口,以产生音频
  1007.                             Delay(100);         //音调设置延时
  1008.               }
  1009.               for(a=100;a>0;a--)
  1010. {                       //同上
  1011.                             beep = ~beep;
  1012.                             Delay(80);
  1013.               }
  1014.               for(a=100;a>0;a--)
  1015. {                          //同上
  1016.                             beep = ~beep;
  1017.                             Delay(30);
  1018.               }
  1019.               beep = 1;         //音乐结束后扬声器拉高关闭
  1020. }
  1021. /*****************************************************************************/
  1022. void Beep_set(void)
  1023. {                        //BELL -扬声器--确定设置
  1024.               unsigned char a;          //定义变量用于发声的长度设置
  1025.               for(a=50;a>0;a--)
  1026. {                         //第一个声音的长度
  1027.                             beep = ~beep;       //取反扬声器驱动口,以产生音频
  1028.                             Delay(100);         //音调设置延时
  1029.               }
  1030.               for(a=100;a>0;a--)
  1031. {                      //同上
  1032.                             beep = ~beep;
  1033.                             Delay(50);
  1034.               }
  1035.               for(a=50;a>0;a--)
  1036. {                      //同上
  1037.                             beep = ~beep;
  1038.                             Delay(100);
  1039.               }
  1040.               beep = 1;           //音乐结束后扬声器拉高关闭
  1041. }
  1042. /*****************************************************************************/
  1043. void Beep_key(void)
  1044. {                      //-扬声器--按键音
  1045.               unsigned char a;          //定义变量用于发声的长度设置
  1046.               for(a=100;a>0;a--)
  1047. {                              //声音的长度
  1048.                             beep = ~beep;
  1049.                             Delay(50);               //音调设置延时
  1050.               }
  1051.               beep = 1;               //音乐结束后扬声器拉高关闭
  1052. }                           
  1053. /*****************************************************************************/
  1054. //电子钟应用层程序设计
  1055. /*****************************************************************************/
  1056. //向LCM中填写 年 数据
  1057. void lcm_w_yy(void){
  1058. if(read_clock(0x8d) != yy){
  1059.                             yy = read_clock(0x8d);
  1060.                             lcm_w_test(0,0x80);
  1061.                             lcm_w_word("20");
  1062.                             if(w==1&&e==0)
  1063.                             {
  1064.                             if(t/1%2==0)
  1065.                             {
  1066.                                             lcm_w_test(0,0x81);
  1067.                             lcm_w_test(1,(yy/16)+0x30);            
  1068.                             lcm_w_test(1,yy%16+0x30);
  1069.                             }
  1070.                             else
  1071.                             {
  1072.                             lcm_w_test(0,0x81);
  1073.                             lcm_w_test(1,0x20);            
  1074.                             lcm_w_test(1,0x20);            
  1075.                             }
  1076.                             }
  1077.                             else
  1078.                             {
  1079.                             lcm_w_test(0,0x81);
  1080.                             lcm_w_test(1,(yy/16)+0x30);            
  1081.                             lcm_w_test(1,yy%16+0x30);
  1082.                             }
  1083. }              //}
  1084. /*****************************************************************************/
  1085. //向LCM中填写 月 数据
  1086. void lcm_w_mo(void){
  1087. if(read_clock(0x89) != mo){
  1088.                             mo = read_clock(0x89);
  1089.                             if(w==1&&e==1)
  1090.                             {
  1091.                             if(t/1%2==0)
  1092.                             {
  1093.                                             lcm_w_test(0,0x82);
  1094.                                           lcm_w_test(1,0x2f);
  1095.                                           lcm_w_test(1,(mo/16)+0x30);              //十位消隐                           
  1096.                                 lcm_w_test(1,mo%16+0x30);
  1097.                                           lcm_w_test(1,0x2f);
  1098.                             }
  1099.                             else
  1100.                             {
  1101.                                             lcm_w_test(0,0x82);
  1102.                                           lcm_w_test(1,0x2f);
  1103.                                           lcm_w_test(1,0x20);              //十位消隐                           
  1104.                                 lcm_w_test(1,0x20);
  1105.                                           lcm_w_test(1,0x2f);
  1106.                             }
  1107.                             }
  1108.                             else
  1109.                             {
  1110.                                 lcm_w_test(0,0x82);
  1111.                                           lcm_w_test(1,0x2f);
  1112.                                           lcm_w_test(1,(mo/16)+0x30);              //十位消隐                           
  1113.                                 lcm_w_test(1,mo%16+0x30);
  1114.                                           lcm_w_test(1,0x2f);
  1115.                             }
  1116.                                           year=(mo/16*10)+mo%16;
  1117. }              //}
  1118. /*****************************************************************************/
  1119. //星期处理并送入LCM的指定区域
  1120. void lcm_w_xq(void){
  1121. if(read_clock(0x8b) != xq){
  1122.               xq = read_clock(0x8b);
  1123.               selx = (read_clock(0x8b))%16;      //字节低4位的BCD码放入selx
  1124.               if(w==1&&e==3)
  1125.                             {
  1126.                             if(t/1%2==0)
  1127.                             {
  1128.                             lcm_w_test(0,0x97);//写入指定区域(97H 第二行第8个字)
  1129.                             if(selx==7)  {lcm_w_word("日");}    //
  1130.                             if(selx==6)  {lcm_w_word("六");}    //
  1131.                               if(selx==5)  {lcm_w_word("五");}    //
  1132.                               if(selx==4)  {lcm_w_word("四");}    //
  1133.                               if(selx==3)  {lcm_w_word("三");}    //
  1134.                               if(selx==2)  {lcm_w_word("二");}    //
  1135.                               if(selx==1)  {lcm_w_word("一");}    //星期一
  1136.                             }
  1137.                             else
  1138.                             {
  1139.                             lcm_w_test(0,0x97);        //写入指定区域(97H 第二行第8个字)
  1140.                             lcm_w_word("  ");
  1141.                             }
  1142.                             }
  1143.                             else
  1144.                             {
  1145.                             lcm_w_test(0,0x97);//写入指定区域(97H 第二行第8个字)
  1146.                             if(selx==7)  {lcm_w_word("日");}    //
  1147.                               if(selx==6)  {lcm_w_word("六");}    //
  1148.                               if(selx==5)  {lcm_w_word("五");}    //
  1149.                               if(selx==4)  {lcm_w_word("四");}    //
  1150.                               if(selx==3)  {lcm_w_word("三");}    //
  1151.                               if(selx==2)  {lcm_w_word("二");}    //
  1152.                               if(selx==1)  {lcm_w_word("一");}    //星期一
  1153.                             }
  1154.               lcm_w_test(0,0x95);
  1155.               lcm_w_word("星期");
  1156. }              //}
  1157. /*****************************************************************************/
  1158. //向LCM中填写 日 数据
  1159. void lcm_w_dd(void){
  1160. //              if(read_clock(0x87) != dd){
  1161.                             dd = read_clock(0x87);
  1162.                             if(w==1&&e==2)
  1163.                             {
  1164.                             if(t/1%2==0)
  1165.                             {
  1166.                             lcm_w_test(0,0x84);
  1167.                             lcm_w_test(1,(dd/16)+0x30);               //十位消隐            
  1168.                             lcm_w_test(1,dd%16+0x30);
  1169.                             }
  1170.                             else
  1171.                             {
  1172.                             lcm_w_test(0,0x84);
  1173.                             lcm_w_test(1,0x20);                     //十位消隐            
  1174.                             lcm_w_test(1,0x20);
  1175.                             }
  1176.                             }
  1177.                             else
  1178.                             {
  1179.                             lcm_w_test(0,0x84);
  1180.                             lcm_w_test(1,(dd/16)+0x30);               //十位消隐            
  1181.                             lcm_w_test(1,dd%16+0x30);
  1182.                             }
  1183. }              //}
  1184. /*****************************************************************************/
  1185. //向LCM中填写 小时 数据
  1186. void lcm_w_hh(void){
  1187.               if(read_clock(0x85) != hh){
  1188.                             hh = read_clock(0x85);
  1189.                                           if (hh > 0x07 && hh < 0x22 && w == 0){
  1190.                                                         Beep();//整点报时音
  1191.                                           }
  1192.                             }
  1193.                             if(w==1&&e==4)
  1194.                             {
  1195.                             if(t/1%2==0)
  1196.                             {
  1197.                             lcm_w_test(0,0x88);
  1198.                             lcm_w_test(1,(hh/16)+0x30);
  1199.                             lcm_w_test(1,hh%16+0x30);
  1200.                             hh1=hh/16*10+hh%16;
  1201.                             }
  1202.                             else
  1203.                             {
  1204.                             lcm_w_test(0,0x88);
  1205.                             lcm_w_test(1,0x20);
  1206.                             lcm_w_test(1,0x20);
  1207.                             hh1=hh/16*10+hh%16;
  1208.                             }
  1209.                             }
  1210.                             else
  1211.                             {
  1212.                             lcm_w_test(0,0x88);
  1213.                             lcm_w_test(1,(hh/16)+0x30);
  1214.                             lcm_w_test(1,hh%16+0x30);
  1215.                             hh1=hh/16*10+hh%16;
  1216.                             }
  1217.                                                       
  1218. }
  1219. /*****************************************************************************/
  1220. //向LCM中填写 分钟 数据
  1221. void lcm_w_mm(void){
  1222.               if(read_clock(0x83) != mm)
  1223.                   {                           
  1224.                             mm = read_clock(0x83);
  1225.                   }
  1226.                             if(w==1&&e==5)
  1227.                             {
  1228.                             if(t/1%2==0)
  1229.                             {
  1230.                             lcm_w_test(0,0x89);
  1231.                             lcm_w_test(1,0x3a);                    //":"
  1232.                             lcm_w_test(1,0x20);
  1233.                             lcm_w_test(1,0x20);
  1234.                             lcm_w_test(1,0x3a);                    //":"            
  1235.                             }
  1236.                             else
  1237.                             {
  1238.                             lcm_w_test(0,0x89);
  1239.                             lcm_w_test(1,0x3a);                     //":"
  1240.                             lcm_w_test(1,(mm/16)+0x30);
  1241.                             lcm_w_test(1,mm%16+0x30);
  1242.                             lcm_w_test(1,0x3a);                    //":"            
  1243.                             }
  1244.                             }
  1245.                             if(w==1&&e!=5)
  1246.                             {
  1247.                             lcm_w_test(0,0x89);
  1248.                             lcm_w_test(1,0x3a);                    //":"
  1249.                             lcm_w_test(1,(mm/16)+0x30);
  1250.                             lcm_w_test(1,mm%16+0x30);
  1251.                             lcm_w_test(1,0x3a);                      //":"
  1252.                             }
  1253.                             if(w!=1)
  1254.                             {
  1255.                             lcm_w_test(0,0x89);
  1256.                             if(t/1%2==0) lcm_w_test(1,0x3a);                //":"
  1257.                             else{lcm_w_test(1,0x20);}
  1258.                             lcm_w_test(1,(mm/16)+0x30);
  1259.                             lcm_w_test(1,mm%16+0x30);
  1260.                             if(t/1%2==0) lcm_w_test(1,0x3a);                //":"
  1261.                             else{lcm_w_test(1,0x20);}            
  1262.                             }
  1263. }
  1264. void disp_temper()                //温度值显示
  1265. {
  1266.     uint temper;
  1267.               uchar temper_ge,temper_shi,temper_bai;
  1268.               temper=read_temp();              //读取温度
  1269.               temper_ge=temper%10+0x30;
  1270.               temper_shi=temper%100/10+0x30;
  1271.               temper_bai=temper/100+0x30;
  1272.               if(tflag==1)
  1273.               {
  1274.                             write_com(0x8c);
  1275.                             lcm_w_word(" -");
  1276.               }
  1277.               if(temper_bai==0x30) temper_bai=0x20;
  1278.               write_com(0x8d);
  1279.               write_data(temper_bai);
  1280.               write_data(temper_shi);
  1281.               write_data('.');
  1282.               write_data(temper_ge);

  1283.               lcm_w_word("℃");            
  1284.    }
  1285. /*------------------显示图片------------------------*/
  1286. void Disp_Img(unsigned char code *img)
  1287. {  unsigned int j=0;
  1288.    unsigned char x,y,i;
  1289.        for(i=0;i<9;i+=8)
  1290.        for(y=0;y<32;y++)/*原来 为 y<26 ,上下两个半屏不能正常对接显示,导致显示的图片中间有空隙*/         
  1291.          for(x=0;x<8;x++)
  1292.          {  write_com(0x36);//功能设置---8BIT控制界面,扩充指令集      
  1293.             write_com(y+0x80);        //行地址
  1294.             write_com(x+0x80+i);     //列地址
  1295.             write_com(0x30);
  1296.             write_data(img[j++]); //写数据还要回到基本指令集   
  1297.             write_data(img[j++]);
  1298.          }  

  1299. }
  1300. /***************************处理显示函数(被调用层)**************************/
  1301. void deal(uchar sfm)
  1302. {
  1303.               shi=sfm/16;
  1304.               ge=sfm%16;
  1305. }
  1306. /*********************12864显示时分秒函数(被调用层)*************************/
  1307. void display(uchar add,uchar dat)
  1308. {
  1309.               uchar i,j=0;
  1310.               for(i=16;i<32;i++)
  1311.               {                                         
  1312.                             write_com(0x80+i);                                                                                                
  1313.                             write_com(0x90+add);                                                                                   
  1314.                             write_data(tab[dat][j++]);
  1315.                             write_data(tab[dat][j++]);
  1316.               }
  1317.               for(i=0;i<16;i++)
  1318.               {
  1319.                             write_com(0x80+i);
  1320.                             write_com(0x88+add);
  1321.                             write_data(tab[dat][j++]);
  1322.                             write_data(tab[dat][j++]);
  1323.               }
  1324. }
  1325. /************************初始化函数(被调用层)              **************************/
  1326. void init_dz()
  1327. {
  1328.               write_com(0x30);                                                                                                  //选择8bit数据流,基本指令集
  1329.               write_com(0x0c);                                                                                          //开显示(无游标、不反白)
  1330.               write_com(0x01);                                                                                           //清除显示,并且设定地址指针为00H
  1331.               write_com(0x82);                                                                                                  //设置指针
  1332.               lcm_w_word("年  月  日");
  1333.               write_com(0x98);                                                                                                  //设置指针
  1334.               lcm_w_word("星期");
  1335.               write_com(0x81);                                                                                                  //处理年,并显示
  1336.               yy = read_clock(0x8d);                                                      
  1337.               deal(yy);
  1338.               write_data(shi+0x30);
  1339.               write_data(ge+0x30);
  1340.                                                                                                                                                                         //处理月,并显示
  1341.               write_com(0x83);
  1342.               mo = read_clock(0x89);
  1343.               deal(mo);
  1344.               write_data(shi+0x30);
  1345.               write_data(ge+0x30);

  1346.               write_com(0x85);                                                                                                  //处理日,并显示
  1347.               dd = read_clock(0x87);
  1348.               deal(dd);
  1349.               write_data(shi+0x30);
  1350.               write_data(ge+0x30);
  1351.                                                                                                                              
  1352.               write_com(0x9a);                                                                                                  //处理星期,并显示
  1353.               xq = read_clock(0x8b);
  1354.               switch(xq)
  1355.               {
  1356.                             case 1:lcm_w_word("一");break;
  1357.                             case 2:lcm_w_word("二");break;
  1358.                             case 3:lcm_w_word("三");break;
  1359.                             case 4:lcm_w_word("四");break;
  1360.                             case 5:lcm_w_word("五");break;
  1361.                             case 6:lcm_w_word("六");break;
  1362.                             case 7:lcm_w_word("日");break;
  1363.                             default:break;
  1364.               }
  1365.               write_com(0x9f);
  1366.               lcm_w_word("℃");

  1367.               write_com(0x36);                                                                                                  //启动扩充指令集,启动绘图模式
  1368.               clear_img();                                                                                                                //清理图片缓冲区

  1369.               hh = read_clock(0x85);                                                                                                  //处理小时,并显示
  1370.               deal(hh);
  1371.               display(0,shi);
  1372.               display(1,ge);
  1373.               display(2,10);
  1374.               mm = read_clock(0x83);                                                                                                  //处理分钟,并显示
  1375.               deal(mm);
  1376.               display(3,shi);
  1377.               display(4,ge);
  1378.               display(5,10);
  1379.               ss = read_clock(0x81);                                                                                                  //处理秒,并显示
  1380.               deal(ss);
  1381.               display(6,shi);
  1382.               display(7,ge);
  1383. }
  1384. /*****************************在12864上显示时间*************************/
  1385. void disp_sfm()
  1386. {
  1387.               uint temper;
  1388.               uchar temper_ge,temper_shi,temper_bai,day_temp,date_temp,month_temp,year_temp;
  1389.               write_com(0x36);                                                        //启动扩充指令集,启动绘图模式
  1390.     ss = read_clock(0x81);                      //更新数据                                                                                   
  1391.               if(sec_temp!=ss)              //读取秒,只要有改变液晶显示也改变
  1392.               {
  1393.                             sec_temp=ss;
  1394.                             deal(sec_temp);
  1395.                             if(secl!=shi)
  1396.                             {
  1397.                                           secl=shi;
  1398.                                           display(6,secl);
  1399.                             }
  1400.                             display(7,ge);            
  1401.               }
  1402.               mm = read_clock(0x83);                                                        //读取分,只要有改变液晶显示也改变
  1403.               if(min_temp!=mm)
  1404.               {
  1405.                             min_temp=mm;
  1406.                             deal(mm);
  1407.                             display(3,shi);
  1408.                             display(4,ge);
  1409.               }
  1410.               hh = read_clock(0x85);                                                        //读取小时,只要有改变液晶显示也改变
  1411.               if(hour_temp!=hh)
  1412.               {
  1413.                             hour_temp=hh;
  1414.                             deal(hh);
  1415.                             display(0,shi);
  1416.                             display(1,ge);
  1417.               }

  1418.               if(ge/1%2==0)
  1419.               {
  1420.               display(2,10);
  1421.               display(5,10);
  1422.               }
  1423.               else
  1424.               {
  1425.               display(2,11);
  1426.               display(5,11);
  1427.               }
  1428.             
  1429.               write_com(0x30);
  1430.               dd = read_clock(0x87);                                                        //读取日,只要有改变液晶显示也改变
  1431.               if(date_temp!=dd)
  1432.               {            
  1433.                             date_temp=dd;
  1434.                             //write_com(0x30);
  1435.                             deal(dd);
  1436.                             write_com(0x85);
  1437.                             write_data(shi+0x30);
  1438.                             write_data(ge+0x30);
  1439.                             //write_com(0x36);
  1440.               }
  1441.               mo = read_clock(0x89);                                                        //读取月,只要有改变液晶显示也改变
  1442.               if(month_temp!=mo)
  1443.               {
  1444.                             month_temp=mo;
  1445.                             //write_com(0x30);
  1446.                             write_com(0x83);
  1447.                             deal(mo);
  1448.                             write_data(shi+0x30);
  1449.                             write_data(ge+0x30);
  1450.                             //write_com(0x36);
  1451.               }
  1452.               yy = read_clock(0x8d);                                                        //读取年,只要有改变液晶显示也改变
  1453.               if(year_temp!=yy)
  1454.               {
  1455.                             year_temp=yy;
  1456.                             //write_com(0x30);
  1457.                             write_com(0x81);
  1458.                             deal(yy);
  1459.                             write_data(shi+0x30);
  1460.                             write_data(ge+0x30);
  1461.                             //write_com(0x36);
  1462.               }
  1463.                             xq = read_clock(0x8b);                               //读取星期,只要有改变液晶显示也改变
  1464.               if(day_temp!=xq)
  1465.               {            
  1466.                             day_temp=xq;
  1467.                             //write_com(0x30);
  1468.                             write_com(0x9a);
  1469.                             switch(xq)
  1470.               {
  1471.                             case 1:lcm_w_word("一");break;
  1472.                             case 2:lcm_w_word("二");break;
  1473.                             case 3:lcm_w_word("三");break;
  1474.                             case 4:lcm_w_word("四");break;
  1475.                             case 5:lcm_w_word("五");break;
  1476.                             case 6:lcm_w_word("六");break;
  1477.                             case 7:lcm_w_word("日");break;
  1478.                             default:break;
  1479.                             }
  1480.               }
  1481.               temper=read_temp();             //读取温度
  1482.               temper_ge=temper%10+0x30;
  1483.               temper_shi=temper%100/10+0x30;
  1484.               temper_bai=temper/100+0x30;
  1485.               if(tflag==1)
  1486.               {
  1487.                             write_com(0x9c);
  1488.                             lcm_w_word(" -");
  1489.               }
  1490.               //else
  1491.               //{
  1492.               //write_com(0x9c);
  1493.               //lcm_w_word(" +");
  1494.               //}
  1495.               if(temper_bai==0x30) temper_bai=0x20;
  1496.               write_com(0x9d);
  1497.               write_data(temper_bai);
  1498.               write_data(temper_shi);
  1499.               write_data('.');
  1500.               write_data(temper_ge);

  1501.               write_com(0x36);            

  1502. }
  1503. /********************************************************** *******************/
  1504. //闹钟
  1505. void naozhong (void)
  1506. {
  1507.   lcm_clr();//清屏
  1508.   lcm_w_test(0,0x80);
  1509.                 lcm_w_word("   闹钟设置    闹钟       ");
  1510.    //----------|-------1-------|-------3-------|-------2-------|-------4-------|-----//标尺
  1511.   if(n==0)  {lcm_w_test(0,0x8f);lcm_w_word("关");}    //
  1512.   if(n==1)  {lcm_w_test(0,0x8f);lcm_w_word("开");}    //
  1513.    while(1)
  1514.    {
  1515.    if (KEY_3 == 0)                       // 设置时间
  1516.                     {
  1517.                             DelayM(20);                                //去抖
  1518.                             if(KEY_3 == 0 && w == 0)
  1519.                               {
  1520.                                Beep_key();//按键音
  1521.                                n++;
  1522.                                if (n >= 2 ){n = 0;}
  1523.                      if(n==0)  {lcm_w_test(0,0x8f);lcm_w_word("关");}    //
  1524.                        if(n==1)  {lcm_w_test(0,0x8f);lcm_w_word("开");}    //
  1525.                               while(KEY_3 == 0);//等待键松开
  1526.                               }
  1527.                             }
  1528.               if (KEY_2 == 0) //
  1529.                     {
  1530.                             DelayM(20);                               //去抖
  1531.                             if(KEY_2 == 0 && w == 0)
  1532.                                           {
  1533.                                           Beep_key();               //按键音
  1534.                                           while(KEY_2 == 0);           //等待键松开
  1535.                                           n1++;
  1536.                                           if (n1 >= 24 ){n1 = 0;}
  1537.                                           }
  1538.                             }
  1539.               if (KEY_4 == 0) //
  1540.                     {
  1541.                             DelayM(20);                               //去抖
  1542.                             if(KEY_4 == 0 && w == 0)
  1543.                                           {
  1544.                                           Beep_key();               //按键音
  1545.                                           while(KEY_4 == 0);         //等待键松开
  1546.                                           n2++;
  1547.                                           if (n2>= 60 ){n2 = 0;}
  1548.                                           }
  1549.                             }
  1550.               lcm_w_test(0,0x8b);
  1551.     lcm_w_test(1,(n1/10)+0x30);
  1552.               lcm_w_test(1,n1%10+0x30);
  1553.               lcm_w_test(1,0x3a);                        //":"
  1554.               lcm_w_test(1,(n2/10)+0x30);
  1555.     lcm_w_test(1,n2%10+0x30);
  1556.                  if(KEY_1 == 0 && w == 0)
  1557.                                                                           {
  1558.                                                                                       Beep_key();       //按键音
  1559.                                                                                       while(KEY_1 == 0);  //等待键松开
  1560.                                                                   lcm_clr();         //清屏
  1561.                                     break;
  1562.                                                       }
  1563.    }

  1564. }                  
  1565. /*****************************************************************************/
  1566. //刷新数据
  1567. void updata (void){
  1568.         lcm_w_ss();//刷新 秒
  1569.                             lcm_w_mm();//刷新 分
  1570.                             lcm_w_hh();//刷新 小时
  1571.                             lcm_w_dd();//刷新 日
  1572.                             lcm_w_xq();//更新星期值            
  1573.                             lcm_w_mo();//刷新 月
  1574.                             lcm_w_yy();//刷新 年
  1575.                             ss = read_clock(0x81);                     //更新数据
  1576.                             t=ss/16*10+ss%16;
  1577.                             lcmnongli();
  1578.                   lcmshengxiao();
  1579.                   disp_temper();
  1580.                             if(w == 0)
  1581.                             {                                                      
  1582.                                           days ();                    //节日显示
  1583.                             }
  1584.                             if (n==1)
  1585.                                 {
  1586.                                           lcm_w_test(0,0x85);                            //秒值在LCM上的写入位置
  1587.                                           lcm_w_test(1,0x20);
  1588.                                 lcm_w_test(1,0x0e);            
  1589.                                           }
  1590.                             else{
  1591.                                  lcm_w_test(0,0x85);                            //秒值在LCM上的写入位置
  1592.                                           lcm_w_test(1,0x20);
  1593.                                  lcm_w_test(1,0x20);            
  1594.                                  }                                                      
  1595. }
  1596. /*****************************************************************************/
  1597. //向LCM中填写 秒 数据
  1598. void lcm_w_ss(void)
  1599. {
  1600.               unsigned int i=0;
  1601.               unsigned char a=0,b=0,c=0;
  1602.               if(read_clock(0x81) != ss){                      //判断是否需要更新
  1603.                             ss = read_clock(0x81);                      //更新数据
  1604.                             if(w==1&&e==6)
  1605.                             {
  1606.                             if(t/1%2==0)
  1607.                             {
  1608.                             lcm_w_test(0,0x8b);                               //秒值在LCM上的写入位置
  1609.                             lcm_w_test(1,(ss/16)+0x30);                 //写十位                           
  1610.                             lcm_w_test(1,ss%16+0x30);                 //写个位
  1611.                             }
  1612.                             else
  1613.                             {
  1614.                             lcm_w_test(0,0x8b);                            //秒值在LCM上的写入位置
  1615.                             lcm_w_test(1,0x20);                 //写十位                           
  1616.                             lcm_w_test(1,0x20);                  //写个位
  1617.                             }
  1618.                             }
  1619.                             else
  1620.                             {
  1621.                             lcm_w_test(0,0x8b);                              //秒值在LCM上的写入位置
  1622.                             lcm_w_test(1,(ss/16)+0x30);                //写十位                           
  1623.                             lcm_w_test(1,ss%16+0x30);                 //写个
  1624.                             }
  1625.     }
  1626. }
  1627. /*****************************************************************************/
  1628. void welcome(void){
  1629.               lcm_w_word1("12864液晶多功能万年历  ");
  1630.    //----------|-------1-------|-------3-------|-------2-------|-------4-------|-----//标尺
  1631. }
  1632. /**************************************************************************/
  1633. void Delay1ms(unsigned int count)
  1634. {
  1635.               unsigned int i,j;
  1636.               for(i=0;i<count;i++)
  1637.               for(j=0;j<120;j++);
  1638. }

  1639. //*****************************Music************************************/
  1640. //我和你
  1641. unsigned char code Music_wo[]={  0x17,0x02, 0x19,0x02, 0x15,0x01, 0x16,0x02, 0x17,0x02,
  1642.                                  0x0F,0x01, 0x15,0x02, 0x16,0x02, 0x17,0x02, 0x19,0x02,
  1643.                                  0x16,0x00, 0x17,0x02, 0x19,0x02, 0x15,0x01, 0x16,0x02,
  1644.                                  0x17,0x02, 0x10,0x01, 0x16,0x02, 0x0F,0x02, 0x16,0x02,
  1645.                                0x17,0x02, 0x15,0x00, 0x1A,0x01, 0x19,0x01, 0x1A,0x01,
  1646.                                  0x15,0x01, 0x17,0x02, 0x10,0x02, 0x17,0x66, 0x19,0x03,
  1647.                                  0x16,0x00, 0x17,0x02, 0x19,0x02, 0x15,0x01, 0x16,0x02,
  1648.                                  0x17,0x02, 0x10,0x01, 0x16,0x02, 0x0F,0x02, 0x16,0x02,
  1649.                                   0x17,0x02, 0x15,0x00, 0x00,0x00 };
  1650. unsigned char code Music_lz[]={ 0x0F,0x03, 0x0F,0x03, 0x10,0x02, 0x0F,0x02, 0x15,0x02,
  1651.                                  0x11,0x01, 0x0F,0x03, 0x0F,0x03, 0x10,0x02, 0x0F,0x02,
  1652.                                  0x16,0x02, 0x15,0x01, 0x0F,0x03, 0x0F,0x03, 0x19,0x02,
  1653.                                  0x17,0x02, 0x15,0x02, 0x11,0x02, 0x10,0x02, 0x18,0x03,
  1654.                                  0x18,0x03, 0x17,0x02, 0x15,0x02, 0x16,0x02, 0x15,0x01,
  1655.                                   0x00,0x00 };
  1656. /*****************************************************************************/
  1657. //---主程序---//
  1658. /*****************************************************************************/
  1659. main()
  1660. {
  1661.     KEY_1 = 1;KEY_2 = 1;KEY_3 = 1;KEY_4 = 1;            //初始键盘
  1662.               yy=0xff;mo=0xff;dd=0xff;xq=0xff;hh=0xff;mm=0xff;ss=0xff; //各数据刷新
  1663.               Beep();            
  1664.     InitialSound();
  1665.               Init_1302();
  1666.               lcm_init();                //初始化液晶显示器
  1667.               lcm_clr();                   //清屏
  1668.               welcome() ;              //显示欢迎信息
  1669.               DelayM(500);           //显示等留1秒
  1670.               lcm_clr();                //清屏
  1671.               Disp_Img(BMP1);
  1672.               Play(Music_lz,0,3,360);
  1673.               lcm_clr();                //清屏
  1674.               c_sun=0;
  1675. /*****************************************************************************/
  1676.               while(1)
  1677.                             {//主循环
  1678.         updata ();                          //刷新数据
  1679.                             //------------------------------------------------------------------
  1680.                             if (KEY_3 == 0)          // 设置时间
  1681.                                                               {
  1682.                                                             DelayM(20);                 //去抖
  1683.                                                                       if(KEY_3 == 0 && w == 1)  //当是调时状态 本键用于调整下一项
  1684.                                                                                     {
  1685.                                                                                     Beep_key();         //按键音
  1686.                                                                                     e++;
  1687.                                                                                     if (e >= 7 ){e = 0;}
  1688.                                                                                     Set_time(e);         //调整                                                      
  1689.                                                       }
  1690.                                                   if(KEY_3 == 0 && w == 0)  //当是正常状态时就进入调时状态
  1691.                                                       {
  1692.                                                                 Beep_set();        //确定按键音
  1693.                                                                 lcm_clr();         //清屏
  1694.                                                                 w=1;                           //进入调时                                                      
  1695.                                                                 Set_time(e);        //调整
  1696.                                                       }
  1697.                                            while(KEY_3 == 0);     //等待键松开
  1698.                                }
  1699.                             //------------------------------------------------------------------
  1700.                             if (KEY_1 == 0)                   // 当在调时状态时就退出调时
  1701.                                {
  1702.                                            DelayM(20);
  1703.                                            if(KEY_1 == 0 && w == 1)
  1704.                                                                            {                                                      
  1705.                                                                   Beep_set();         //确定按键音
  1706.                                                                   w = 0;                        //退出调时
  1707.                                                                   e = 0;                                  //“下一项”计数器清0                                                                                                               
  1708.                                                        }
  1709.                                                   if(KEY_1 == 0 && w == 0)
  1710.                                                                           {
  1711.                                                         Beep_key();        //按键音
  1712.                                                                   lcm_clr();          //清屏
  1713.                                     Disp_Img(BMP1);    //显示图片
  1714.                                               Play(Music_wo,0,3,360);  //播放音乐
  1715.                                                       }
  1716.                                                             lcm_clr();         //清屏
  1717.                                                             updata ();                       //刷新数据
  1718.                                              while(KEY_1 == 0);  //等待键松开
  1719.                               }
  1720.                             //------------------------------------------------------------------
  1721.                             if (KEY_2 == 0 && w == 1)         // 加减调整
  1722.                                      {
  1723.                                          DelayM(20);
  1724.                                          if(KEY_2 == 0 && w == 1)
  1725.                                                     {                                                      
  1726.                                                                Beep_key();        //按键音
  1727.                                                                Set_time(e);        //调整
  1728.                                                     }
  1729.                                         while(KEY_2 == 0);        //等待键松开
  1730.                              }
  1731.                             if (KEY_2 == 0 && w == 0)
  1732.                                     {                    
  1733.                                             DelayM(20);
  1734.                                          if(KEY_2 == 0 && w == 0)
  1735.                                                              {                                                      
  1736.                                                                Beep_key();//按键音
  1737.                                                                          while(KEY_2 == 0);    //等待键松开
  1738.                                                                naozhong();         //进入闹钟设置程序
  1739.                                                    }
  1740.                                          while(KEY_2 == 0);        //等待键松开
  1741.                             }
  1742.                             //------------------------------------------------------------------
  1743.                             if (KEY_4 == 0 && w == 1)              // 加减调整
  1744.                                     {                    
  1745.                                             DelayM(20);
  1746.                                          if(KEY_4 == 0 && w == 1)
  1747.                                                              {                                                      
  1748.                                                                Beep_key();         //按键音
  1749.                                                                Set_time(e);         //调整
  1750.                                                    }
  1751.                                          while(KEY_4 == 0);          //等待键松开
  1752.                             }
  1753.                             if (KEY_4 == 0 && w == 0)
  1754.                                      {
  1755.                                          DelayM(20);
  1756.                                          if(KEY_4 == 0 && w == 0)
  1757.                                                     {                                                      
  1758.                                                                Beep_key();           //按键音
  1759.                                                                          lcm_clr();           //清屏
  1760.                                  clear_img();            
  1761.                                                                          init_dz();                            //清理图片缓冲区
  1762.                                                                          while(KEY_4 == 0);          //等待键松开                                                                                                
  1763.                                                                          while(1)
  1764.                                                                               {
  1765.                                                                                                   disp_sfm();            
  1766.                                                                                                   if (KEY_4 == 0)
  1767.                                                    {
  1768.                                                                                                      Beep_key();         //按键音
  1769.                                                                                            lcm_init();         //初始化液晶显示器
  1770.                                                                        updata ();                    //刷新数据
  1771.                                                                                             while(KEY_4 == 0);  //等待键松开
  1772.                                                                                                                 break;
  1773.                                                                                                                 }
  1774.                                                                                                   }
  1775.                                                                                    
  1776.                                                     }
  1777.                              }
  1778.                             if(n==1)
  1779.                             {
  1780.                             hh1=hh/16*10+hh%16;
  1781.                             mm1=mm/16*10+mm%16;
  1782.                             if(n1==hh1 && n2==mm1 && ss==0)
  1783.                                {
  1784.                                           lcm_clr();//清屏
  1785.             lcm_w_test(0,0x80);
  1786.                 lcm_w_word(" 闹钟时间到!");
  1787.            //----------|-------1-------|-------3-------|-------2-------|-------4-------|-----//标尺
  1788.                                lcm_w_test(0,0x89);
  1789.            lcm_w_test(1,(n1/10)+0x30);
  1790.                      lcm_w_test(1,n1%10+0x30);
  1791.                      lcm_w_word("点");
  1792.                      lcm_w_test(1,(n2/10)+0x30);
  1793.            lcm_w_test(1,n2%10+0x30);
  1794.                                lcm_w_word("分  ");
  1795.                                lcm_w_test(1,0x02);
  1796.                                Play(Music_wo,0,3,360);
  1797.                                lcm_clr();                //清屏
  1798.                                updata ();                             //刷新数据
  1799.                                }
  1800.                             }
  1801.   }
  1802. }      
复制代码

所有资料51hei提供下载:
实验三.doc (333 KB, 下载次数: 94)


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏5 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:639468 发表于 2019-11-11 09:01 | 只看该作者
求高清图
回复

使用道具 举报

板凳
ID:639463 发表于 2019-11-11 10:21 | 只看该作者
感谢楼主
回复

使用道具 举报

地板
ID:639476 发表于 2019-11-12 09:53 | 只看该作者
感谢楼主
回复

使用道具 举报

5#
ID:646322 发表于 2019-11-21 10:11 | 只看该作者
1.C(105): error C267: 'DelayM': requires ANSI-style prototype
回复

使用道具 举报

6#
ID:673512 发表于 2019-12-25 19:26 | 只看该作者
感谢作者
回复

使用道具 举报

7#
ID:765218 发表于 2021-1-28 11:47 | 只看该作者
楼主求发一张高清图  模糊了 看不清 感谢
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表