找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1862|回复: 1
收起左侧

24进制计数器的vhdl语言设计 求帮助

[复制链接]
ID:363250 发表于 2018-10-22 10:32 | 显示全部楼层 |阅读模式
24进制计数器的vhdl语言设计
回复

使用道具 举报

ID:382449 发表于 2018-10-23 14:17 | 显示全部楼层
这是以前写的,把里面的23改成别的也能实现任意进制。代码如下:
library ieee;

use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity countern is
   generic (N: integer:=24);
port
(
  clk:in std_logic;
  reset:in std_logic;---------------------------复位端
  enable:in std_logic;--------------------------使能端
  q:out integer range 0 to N-1
);
end entity countern;
architecture bhv of countern is
begin
process (clk)
variable   cnt : integer range 0 to 23;
   begin
   if reset = '1' then cnt:= 0;--reset为1时计数器复位
   elsif enable = '1'then--使能端为1时计数器正常工作
  if(clk'event and clk='1') then--时钟上升沿到来时计数
   if(cnt<23) then--加法计数
         cnt:= cnt+1;
         else
         cnt := 0;
         end if;                  
      end if;
end if;
q <= cnt; ----输出计数值
   end process;
end bhv;
仿真波形:


TIM截图20181023141257.jpg

评分

参与人数 1黑币 +50 收起 理由
admin + 50 回帖助人的奖励!

查看全部评分

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表