找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4501|回复: 1
收起左侧

基于MSP430简易示波器的设计电路与程序

[复制链接]
ID:422488 发表于 2018-11-7 17:31 | 显示全部楼层 |阅读模式
  • 硬件设计
1.1 单片机
选用MSP430G2553单片机,该单片机具有低功耗的特点,内部自带8路10位AD转换器(ADC10),最高主频可达16Mhz,对于一个简易示波器来说这些特点足够我们使用。
1.2 程控放大电路
程控放大电路的作用是对大信号进行衰减,对小信号进行放大,保证输入到A/D转换器的信号幅度在要求的输入电压范围内,以达到最好的测量与观察效果。采用模拟开关CD4051,配合精密电位器实现多挡垂直分辨率。在MSP430单片机中使用寄存器模块设置通道号,通过写入通道号控制模拟开关选通不同的反馈电阻,从而实现不同的放大倍数,对信号进行不同程度的放大(衰减)。电路图如下:
1.3 简易调理电路
由于示波器观察信号大多是正负电压信号,考虑到ADC10一般使用的是单极性参考电压(也可以使用寄存器设置双极性参考电压,这样就不需要调理电路了,但最好加一级缓冲)。为了采样到信号的负电压,就需要给该信号叠加直流量,将负电压部分信号抬高至零电平以上,因此采用信号调理电路。电路图如下:
1.4 LCD显示电路和按键电路
利用LCD的SPI通信模式与MSP430单片机连接,这样可以得到不错的通信速度,并且可以尽可能的减少MSP430引脚的占用,具体电路这里就不给出了。(按照spi连接)
利用简单独立按键实现放大倍数调节,采样频率设置等功能即可。
2.软件设计
2.1 单片机初始化
对P2口初始化,打开P2口的中断;将通信方式设置为硬件spi通信模式(注意spi通信在上升沿还是在下降沿开始)。
  1.                             WDTCTL=WDTPW+WDTHOLD; //关看门狗

  2.                             P1OUT = 0x00;                             // P1 setup for LED & reset output
  3.                 P1DIR |= BIT0 + BIT2 + BIT3 + BIT4 + BIT5;
  4.                 P1SEL = BIT1 + BIT2 + BIT4;
  5.                 P1SEL2 = BIT1 + BIT2 + BIT4;
  6.                 P2REN |= 0x38;
  7.                   P2DIR = 0x07;
  8.                   P2OUT = 0;
  9.                   P2IE |= 0x38;                             // P1.4 interrupt enabled
  10.                   P2IFG &= ~0x38;
  11.                   will=0;
  12.                 UCA0CTL0 |= UCCKPL + UCMSB + UCMST + UCSYNC + UCCKPH ;  // 3-pin, 8-bit SPI master
  13.                 UCA0CTL1 |= UCSSEL_2;                     // SMCLK
  14.                 UCA0BR0 |= 0x04;                          // /2
  15.                 UCA0BR1 = 0;                              //
  16.                 UCA0MCTL = 0;                             // No modulation
  17.                 UCA0CTL1 &= ~UCSWRST;                     // **Initialize USCI state machine**
  18.                 P1OUT &= ~BIT5;                           // Now with SPI signals initialized,
  19.                 P1OUT |= BIT5;                            // reset slave
  20. ADC10初始化,开启参考源和中断。
  21.               ADC10CTL0 = ADC10SHT_0 + REFON + ADC10ON + ADC10IE;
  22.               ADC10CTL1 = INCH_6;
  23. ADC10AE0 |= 0x40;  
  24. 显示初始化,对LCD的界面显示初始化。
  25. Initial_ILI9340C();
  26.                             Delay_ms(300);
  27.                             LCD_TEST_SingleColor(Black);
  28.                             LCD_TEST_SingleColor(Black);
  29.                             LCD_TEST_PartColor(Blue);
  30.                             for(wh=22; wh<220 ;wh+=10)
  31.                             {
  32.                                           LCD_draw_Line(wh,39,White);
  33.                                           LCD_draw_Line(wh,79,White);
  34.                                           LCD_draw_Line(wh,119,White);
  35.                                           LCD_draw_Line(wh,159,White);
  36.                                           LCD_draw_Line(wh,199,White);
  37.                                           LCD_draw_Line(wh,239,White);
  38.                                           LCD_draw_Line(wh,279,White);
  39.                             }
  40.                             Delay_ms(100);
  41.                             for(co=2; co<320 ;co+=10)
  42.                             {
  43.                                           LCD_draw_Col(69,co,White);
  44.                                           LCD_draw_Col(119,co,White);
  45.                                           LCD_draw_Col(169,co,White);
  46.                             }
  47.                             Delay_ms(100);
复制代码

2.2 程控选择程序
根据按键的次数,在P2口中断改变will的值,并通过P2口输出给CD4051的ABC开关选择端,对放大倍数进行选择。
  1.               #pragma vector=PORT2_VECTOR
  2. __interrupt void Port_2(void)
  3. {
  4.               if(P2IFG & 0x10)
  5.               {
  6.                             while(0x10 & P2IN);
  7.                             will++;
  8.                             if(will==8)
  9.                             {
  10.                                           will=7;
  11.                             }
  12.               }
  13.               if(P2IFG & 0x20)
  14.               {
  15.                             while(0x20 & P2IN);
  16.                             will--;
  17.                             if(will==255)
  18.                             {
  19.                                           will=0;
  20.                             }
  21.               }
  22.               if(P2IFG & 0x08)
  23.               {
  24.                             while(0x08 & P2IN);
  25.                             chan++;
  26.                             if(chan==4)
  27.                                           chan=0;
  28.               }
  29.     P2IFG &= ~0x38;
  30.     P2OUT=will;
  31. }
复制代码

2.3 采样频率设置
通过改变ADC10CTL1,通过按键次数对应值不同改变ADC10时钟的频率来调节电路的采样频率。
  1.   switch(chan)
  2.                                                            {
  3.                                                                       case 0: ADC10CTL1 = INCH_6; break;
  4.                                                                       case 1: ADC10CTL1 |= ADC10DIV0 + ADC10DIV1; break;
  5.                                                                       case 2: ADC10CTL1 |= ADC10DIV0 + ADC10DIV2; break;
  6.                                                                       case 3: ADC10CTL1 |= ADC10DIV0 + ADC10DIV1 + ADC10DIV2;                                                                       break;
  7.                                                         }
复制代码

2.4 软件触发程序
只有在一定条件下才使lcd刷新,保证每次采集到的波形都从一点开始显示,防止由于每次采集到的点不同导致波形一直移动。
ADC10CTL0 |= ENC + ADC10SC;         
  // Sampling and conversion start
                                                        __bis_SR_register(CPUOFF + GIE);      
                                                        if(cir==0) key1 = ADC10MEM/5;
                                                        if(cir==1) key2 = ADC10MEM/5;
                                                        if(cir==2) key3 = ADC10MEM/5;
                                                        if(cir>2 && key1>key2 && key2>key3)  //((key1-100)/2)>=(key2-100)
                                                        {
                                                                      temp[0]=key1;
                                                                      temp[1]=key2;
                                                                      temp[2]=key3;
                                                                      temp[cir]=ADC10MEM/5;
                                                        }
                                          ADC10CTL0 &= ~ENC;
2.5 显示刷新程序
示波器是一个动态显示过程,因此在过程中可能会引起初始界面被部分覆盖,以此需要定时刷新初始界面。
trig++;

                                          if(trig%20==0)
                                          {
                                                        for(wh=22; wh<220 ;wh+=10)
                                                        {
                                                                      LCD_draw_Line(wh,39,White);
                                                                      LCD_draw_Line(wh,79,White);
                                                                      LCD_draw_Line(wh,119,White);
                                                                      LCD_draw_Line(wh,159,White);
                                                                      LCD_draw_Line(wh,199,White);
                                                                      LCD_draw_Line(wh,239,White);
                                                                      LCD_draw_Line(wh,279,White);
                                                        }
                                                        Delay_ms(300);
                                                        for(co=2; co<320 ;co+=10)
                                                        {
                                                                      LCD_draw_Col(69,co,White);
                                                                      LCD_draw_Col(119,co,White);
                                                                      LCD_draw_Col(169,co,White);
                                                        }
                                                        Delay_ms(300);
                                          }
附:源程序

  1. #include "msp430g2553.h" //STC12单片机头文件

  2. //颜色定义
  3. #define Blue                             0x001f
  4. #define Yellow                            0xffe0
  5. #define Green                             0x07e0
  6. #define Black                            0x0000
  7. #define White                            0xffff
  8. #define Red                                          0xf800

  9. //引脚定义
  10. #define              R_ESET1 P1OUT|=BIT5; //液晶RESET引脚,接单片机IO引脚
  11. #define R_ESET0 P1OUT&=~BIT5;
  12. #define              C_D1              P1OUT|=BIT3;//液晶D/CX引脚,数据/命令控制,接单片机IO引脚
  13. #define              C_D0                 P1OUT&=~BIT3;
  14. #define              C_S1                 P1OUT|=BIT0;//液晶片选CS,P1.4为单片机SPI总线的SS引脚,通过MSTR位配置为IO模式
  15. #define              C_S0                 P1OUT&=~BIT0;

  16. unsigned char MST_Data, SLV_Data, wh, temp[320], trig=0, key1, key2 ,key3 ,will ,chan=0;
  17. unsigned int co,cir;


  18. void delay(void)
  19. {
  20. unsigned int j;
  21.   for(j=100;j>0;j--);
  22. }
  23. void Delay_us(int value)////延时函数_us
  24.               {
  25.               while (value)
  26.               value--;
  27.               }

  28. void Delay_ms(int value)////延时函数_ms
  29.               {
  30.               while (value){
  31.                             Delay_us(999);
  32.                             value--;
  33.                             }
  34.               }

  35. void LCD_Writ_Bus(char a){   //数据写入函数 8位
  36.       C_S0;
  37.       UCA0TXBUF = a;
  38.       __delay_cycles(50);
  39.       while (!(IFG2 & UCA0TXIFG));
  40.       C_S1;

  41. }

  42. void LCD_WRITE_CMD(char cmd){//8 bit
  43.       C_D0;
  44.       LCD_Writ_Bus(cmd);
  45. }

  46. void LCD_WRITE_COM_DATA(char com_data){//8 bit
  47.       C_D1;
  48.       LCD_Writ_Bus(com_data);
  49. }

  50. void LCD_WRITE_DATA(int a){//16位数据,分两次,每次送8位
  51.       C_D1;
  52.       LCD_Writ_Bus(a>>8);
  53.                 LCD_Writ_Bus(a);
  54. }

  55. //设置绘点窗口,x=0~239,y=0~319
  56. void Address_set(unsigned int x1,unsigned int x2,unsigned int y1,unsigned int y2)
  57. {
  58.                    LCD_WRITE_CMD(0x2a);               //x轴
  59.                    LCD_WRITE_DATA(x1);
  60.                    LCD_WRITE_DATA(x2);
  61.                   LCD_WRITE_CMD(0x2b);               //y轴
  62.                   LCD_WRITE_DATA(y1);
  63.                    LCD_WRITE_DATA(y2);
  64.                    LCD_WRITE_CMD(0x2c);
  65. }

  66. void LCD_TEST_SingleColor(int Discolor){
  67.                    int i,j;
  68.                             Address_set(0,239,0,319);

  69.                             for (i=0;i<320;i++){
  70.                                           for (j=0;j<240;j++){
  71.                                                         LCD_WRITE_DATA(Discolor);
  72.                                                         }
  73.                             }
  74. }

  75. void LCD_TEST_PartColor(int Discolor){
  76.                    int i,j;
  77.                             Address_set(0,19,0,319);

  78.                             for (i=0;i<320;i++){
  79.                                           for (j=0;j<20;j++){
  80.                                                         LCD_WRITE_DATA(Discolor);
  81.                                                         }
  82.                             }
  83.                             Address_set(220,239,0,319);

  84.                             for (i=0;i<320;i++){
  85.                                           for (j=220;j<240;j++){
  86.                                                         LCD_WRITE_DATA(Discolor);
  87.                                                         }
  88.                             }
  89. }

  90. //画一条横线
  91. void LCD_draw_Line(unsigned int x,unsigned int y,unsigned int color)
  92. {                            int i;
  93.                             Address_set(x,x+4,y,y);
  94.                             for (i=0;i<5;i++){
  95.                                           LCD_WRITE_DATA(color);
  96.                                           }
  97. }

  98. void LCD_draw_Col(unsigned int x,unsigned int y,unsigned int color)
  99. {                            int i;
  100.                             Address_set(x,x,y,y+4);
  101.                             for (i=0;i<5;i++){
  102.                                           LCD_WRITE_DATA(color);
  103.                                           }
  104. }

  105. //液晶主控初始化
  106. void Initial_ILI9340C(void)
  107. {
  108.         LCD_WRITE_CMD(0xCB);
  109.         LCD_WRITE_COM_DATA(0x39);
  110.         LCD_WRITE_COM_DATA(0x2C);
  111.         LCD_WRITE_COM_DATA(0x00);
  112.         LCD_WRITE_COM_DATA(0x34);
  113.         LCD_WRITE_COM_DATA(0x02);

  114.         LCD_WRITE_CMD(0xCF);
  115.         LCD_WRITE_COM_DATA(0x00);
  116.         LCD_WRITE_COM_DATA(0XC1);
  117.         LCD_WRITE_COM_DATA(0X30);

  118.         LCD_WRITE_CMD(0xE8);
  119.         LCD_WRITE_COM_DATA(0x85);
  120.         LCD_WRITE_COM_DATA(0x00);
  121.         LCD_WRITE_COM_DATA(0x78);

  122.         LCD_WRITE_CMD(0xEA);
  123.         LCD_WRITE_COM_DATA(0x00);
  124.         LCD_WRITE_COM_DATA(0x00);

  125.         LCD_WRITE_CMD(0xED);
  126.         LCD_WRITE_COM_DATA(0x64);
  127.         LCD_WRITE_COM_DATA(0x03);
  128.         LCD_WRITE_COM_DATA(0X12);
  129.         LCD_WRITE_COM_DATA(0X81);

  130.         LCD_WRITE_CMD(0xF7);
  131.         LCD_WRITE_COM_DATA(0x20);

  132.         LCD_WRITE_CMD(0xC0);    //Power control
  133.         LCD_WRITE_COM_DATA(0x23);   //VRH[5:0]

  134.         LCD_WRITE_CMD(0xC1);    //Power control
  135.         LCD_WRITE_COM_DATA(0x10);   //SAP[2:0];BT[3:0]

  136.         LCD_WRITE_CMD(0xC5);    //VCM control
  137.         LCD_WRITE_COM_DATA(0x3e); //对比度调节
  138.         LCD_WRITE_COM_DATA(0x28);

  139.         LCD_WRITE_CMD(0xC7);    //VCM control2
  140.         LCD_WRITE_COM_DATA(0x86);  //--

  141.         LCD_WRITE_CMD(0x36);    // Memory Access Control
  142.         LCD_WRITE_COM_DATA(0xC8);

  143.         LCD_WRITE_CMD(0x3A);
  144.         LCD_WRITE_COM_DATA(0x55);

  145.         LCD_WRITE_CMD(0xB1);
  146.         LCD_WRITE_COM_DATA(0x00);
  147.         LCD_WRITE_COM_DATA(0x18);

  148.         LCD_WRITE_CMD(0xB6);    // Display Function Control
  149.         LCD_WRITE_COM_DATA(0x08);
  150.         LCD_WRITE_COM_DATA(0x82);
  151.         LCD_WRITE_COM_DATA(0x27);

  152.         LCD_WRITE_CMD(0xF2);    // 3Gamma Function Disable
  153.         LCD_WRITE_COM_DATA(0x00);

  154.         LCD_WRITE_CMD(0x26);    //Gamma curve selected
  155.         LCD_WRITE_COM_DATA(0x01);

  156.         LCD_WRITE_CMD(0xE0);    //Set Gamma
  157.         LCD_WRITE_COM_DATA(0x0F);
  158.         LCD_WRITE_COM_DATA(0x31);
  159.         LCD_WRITE_COM_DATA(0x2B);
  160.         LCD_WRITE_COM_DATA(0x0C);
  161.         LCD_WRITE_COM_DATA(0x0E);
  162.         LCD_WRITE_COM_DATA(0x08);
  163.         LCD_WRITE_COM_DATA(0x4E);
  164.         LCD_WRITE_COM_DATA(0xF1);
  165.         LCD_WRITE_COM_DATA(0x37);
  166.         LCD_WRITE_COM_DATA(0x07);
  167.         LCD_WRITE_COM_DATA(0x10);
  168.         LCD_WRITE_COM_DATA(0x03);
  169.         LCD_WRITE_COM_DATA(0x0E);
  170.         LCD_WRITE_COM_DATA(0x09);
  171.         LCD_WRITE_COM_DATA(0x00);

  172.         LCD_WRITE_CMD(0XE1);    //Set Gamma
  173.         LCD_WRITE_COM_DATA(0x00);
  174.         LCD_WRITE_COM_DATA(0x0E);
  175.         LCD_WRITE_COM_DATA(0x14);
  176.         LCD_WRITE_COM_DATA(0x03);
  177.         LCD_WRITE_COM_DATA(0x11);
  178.         LCD_WRITE_COM_DATA(0x07);
  179.         LCD_WRITE_COM_DATA(0x31);
  180.         LCD_WRITE_COM_DATA(0xC1);
  181.         LCD_WRITE_COM_DATA(0x48);
  182.         LCD_WRITE_COM_DATA(0x08);
  183.         LCD_WRITE_COM_DATA(0x0F);
  184.         LCD_WRITE_COM_DATA(0x0C);
  185.         LCD_WRITE_COM_DATA(0x31);
  186.         LCD_WRITE_COM_DATA(0x36);
  187.         LCD_WRITE_COM_DATA(0x0F);

  188.         LCD_WRITE_CMD(0x11);    //Exit Sleep
  189.         Delay_ms(120);

  190.         LCD_WRITE_CMD(0x29);    //Display on
  191.         LCD_WRITE_CMD(0x2c);

  192. }

  193. //LCD进入休眠状态
  194. void LCD_Sleep_ILI9340C(void)
  195. {
  196.   LCD_WRITE_CMD(0x28);//Display off
  197.   Delay_ms(20);
  198.   LCD_WRITE_CMD(0x10);//Enter Sleep mode
  199. }
  200. //LCD退出休眠状态
  201. void LCD_ExitSleep_ILI9340C(void)
  202. {
  203.   LCD_WRITE_CMD(0x11);//Exit Sleep
  204.   Delay_ms(120);
  205.   LCD_WRITE_CMD(0x29);//Display on
  206.   LCD_WRITE_CMD(0x2c);
  207. }

  208. void main()
  209. {
  210.                             WDTCTL=WDTPW+WDTHOLD; //关看门狗


  211.                             P1OUT = 0x00;                             // P1 setup for LED & reset output
  212.                 P1DIR |= BIT0 + BIT2 + BIT3 + BIT4 + BIT5;
  213.                 P1SEL = BIT1 + BIT2 + BIT4;
  214.                 P1SEL2 = BIT1 + BIT2 + BIT4;
  215.                 P2REN |= 0x38;
  216.                   P2DIR = 0x07;
  217.                   P2OUT = 0;
  218.                   P2IE |= 0x38;                             // P1.4 interrupt enabled
  219.                   P2IFG &= ~0x38;
  220.                   will=0;
  221.                 UCA0CTL0 |= UCCKPL + UCMSB + UCMST + UCSYNC + UCCKPH ;  // 3-pin, 8-bit SPI master
  222.                 UCA0CTL1 |= UCSSEL_2;                     // SMCLK
  223.                 UCA0BR0 |= 0x04;                          // /2
  224.                 UCA0BR1 = 0;                              //
  225.                 UCA0MCTL = 0;                             // No modulation
  226.                 UCA0CTL1 &= ~UCSWRST;                     // **Initialize USCI state machine**
  227.                 P1OUT &= ~BIT5;                           // Now with SPI signals initialized,
  228.                 P1OUT |= BIT5;                            // reset slave

  229.                 __delay_cycles(75);                 // Wait for slave to initialize

  230.                             Initial_ILI9340C();
  231.                             Delay_ms(300);
  232.                             LCD_TEST_SingleColor(Black);
  233.                             LCD_TEST_SingleColor(Black);
  234.                             LCD_TEST_PartColor(Blue);
  235.                             for(wh=22; wh<220 ;wh+=10)
  236.                             {
  237.                                           LCD_draw_Line(wh,39,White);
  238.                                           LCD_draw_Line(wh,79,White);
  239.                                           LCD_draw_Line(wh,119,White);
  240.                                           LCD_draw_Line(wh,159,White);
  241.                                           LCD_draw_Line(wh,199,White);
  242.                                           LCD_draw_Line(wh,239,White);
  243.                                           LCD_draw_Line(wh,279,White);
  244.                             }
  245.                             Delay_ms(100);
  246.                             for(co=2; co<320 ;co+=10)
  247.                             {
  248.                                           LCD_draw_Col(69,co,White);
  249.                                           LCD_draw_Col(119,co,White);
  250.                                           LCD_draw_Col(169,co,White);
  251.                             }
  252.                             Delay_ms(100);

  253.                             ADC10CTL0 = ADC10SHT_0 + REFON + ADC10ON + ADC10IE;
  254.                  ADC10CTL1 = INCH_6;
  255.                  ADC10AE0 |= 0x40;                         // PA.1 ADC option select

  256.                             while(1)
  257.                             {
  258.                                           for(cir=0;cir<320;cir++)
  259.                                           {
  260.                                                         ADC10CTL0 |= ENC + ADC10SC;             // Sampling and conversion start
  261.                                                         __bis_SR_register(CPUOFF + GIE);        // LPM0, ADC10_ISR will force exi
  262.                                                         if(cir==0) key1 = ADC10MEM/5;
  263.                                                         if(cir==1) key2 = ADC10MEM/5;
  264.                                                         if(cir==2) key3 = ADC10MEM/5;
  265.                                                         if(cir>2 && key1>key2 && key2>key3)  //((key1-100)/2)>=(key2-100)
  266.                                                         {
  267.                                                                       temp[0]=key1;
  268.                                                                       temp[1]=key2;
  269.                                                                       temp[2]=key3;
  270.                                                                       temp[cir]=ADC10MEM/5;
  271.                                                         }
  272.                                                         ADC10CTL0 &= ~ENC;
  273.                                                         switch(chan)
  274.                                                         {
  275.                                                                       case 0: ADC10CTL1 = INCH_6; break;
  276.                                                                       case 1: ADC10CTL1 |= ADC10DIV0 + ADC10DIV1; break;
  277.                                                                       case 2: ADC10CTL1 |= ADC10DIV0 + ADC10DIV2; break;
  278.                                                                       case 3: ADC10CTL1 |= ADC10DIV0 + ADC10DIV1 + ADC10DIV2; break;
  279.                                                         }
  280.                                           }

  281.                                                         for(cir=0;cir<320;cir++)
  282.                                                         {
  283.                                                                       Address_set(20+temp[cir],20+temp[cir],cir,cir);
  284.                                                                       LCD_WRITE_DATA(Yellow);
  285.                                                         }
  286.                                                         Delay_ms(300);
  287.                                                         for(cir=0;cir<320;cir++)
  288.                                                         {
  289.                                                                       Address_set(20+temp[cir],20+temp[cir],cir,cir);
  290.                                                                       LCD_WRITE_DATA(Yellow);
  291.                                                         }
  292.                                                         Delay_ms(300);
  293.                                                         for(cir=0;cir<320;cir++)
  294.                                                         {
  295.                                                                       Address_set(20+temp[cir],20+temp[cir],cir,cir);
  296.                                                                       LCD_WRITE_DATA(Yellow);
  297.                                                         }
  298.                                                         Delay_ms(300);
  299.                                                         for(cir=0;cir<320;cir++)
  300.                                                         {
  301.                                                                       Address_set(20+temp[cir],20+temp[cir],cir,cir);
  302.                                                                       LCD_WRITE_DATA(Yellow);
  303.                                                         }
  304.                                                         Delay_ms(300);
  305.                                                         for(cir=0;cir<320;cir++)
  306.                                                         {
  307.                                                                       Address_set(20+temp[cir],20+temp[cir],cir,cir);
  308.                                                                       LCD_WRITE_DATA(Black);
  309.                                                         }

  310.                                           trig++;

  311.                                           if(trig%20==0)
  312.                                           {
  313.                                                         for(wh=22; wh<220 ;wh+=10)
  314.                                                         {
  315.                                                                       LCD_draw_Line(wh,39,White);
  316.                                                                       LCD_draw_Line(wh,79,White);
  317.                                                                       LCD_draw_Line(wh,119,White);
  318.                                                                       LCD_draw_Line(wh,159,White);
  319.                                                                       LCD_draw_Line(wh,199,White);
  320.                                                                       LCD_draw_Line(wh,239,White);
  321.                                                                       LCD_draw_Line(wh,279,White);
  322.                                                         }
  323.                                                         Delay_ms(300);
  324.                                                         for(co=2; co<320 ;co+=10)
  325.                                                         {
  326.                                                                       LCD_draw_Col(69,co,White);
  327.                                                                       LCD_draw_Col(119,co,White);
  328.                                                                       LCD_draw_Col(169,co,White);
  329.                                                         }
  330.                                                         Delay_ms(300);
  331.                                           }
  332.                             }
  333. }
  334. // ADC10 interrupt service routine
  335. #pragma vector=ADC10_VECTOR
  336. __interrupt void ADC10_ISR (void)
  337. {
  338.               __bic_SR_register_on_exit(CPUOFF);        // Clear CPUOFF bit from 0(SR)
  339. }
  340. #pragma vector=PORT2_VECTOR
  341. __interrupt void Port_2(void)
  342. {
  343.               if(P2IFG & 0x10)
  344.               {
  345.                             while(0x10 & P2IN);
  346.                             will++;
  347.                             if(will==8)
  348.                             {
  349.                                           will=7;
  350.                             }
  351.               }
  352.               if(P2IFG & 0x20)
  353.               {
  354.                             while(0x20 & P2IN);
  355.                             will--;
  356.                             if(will==255)
  357.                             {
  358.                                           will=0;
  359.                             }
  360.               }
  361.               if(P2IFG & 0x08)
  362.               {
  363.                             while(0x08 & P2IN);
  364.                             chan++;
  365.                             if(chan==4)
  366.                                           chan=0;
  367.               }
  368.     P2IFG &= ~0x38;
  369.     P2OUT=will;
  370. }
复制代码

完整的Word格式文档51黑下载地址:
基于MSP430简易示波器的设计.doc (222 KB, 下载次数: 40)
回复

使用道具 举报

ID:272534 发表于 2018-11-13 08:34 | 显示全部楼层
收藏待用,感谢分享
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表