找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 7219|回复: 6
打印 上一主题 下一主题
收起左侧

基于单片机的电机测速proteus仿真+代码

  [复制链接]
跳转到指定楼层
楼主
基于单片机的电机测速仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)


单片机源程序如下:
  1. #include <reg52.h>                 //调用单片机头文件
  2. #define uchar unsigned char  //无符号字符型 宏定义        变量范围0~255
  3. #define uint  unsigned int         //无符号整型 宏定义        变量范围0~65535

  4. sbit clk = P1^3;          //ds1302时钟线定义
  5. sbit io =  P1^4;          //数据线
  6. sbit rst = P1^5;          //复位线
  7.                                                            //秒  分   时   日   月  年   星期        
  8. uchar code write_add[]={0x80,0x82,0x84,0x86,0x88,0x8c,0x8a};   //写地址
  9. uchar code read_add[] ={0x81,0x83,0x85,0x87,0x89,0x8d,0x8b};   //读地址
  10. uchar code init_ds[]  ={0x55,0x17,0x15,0x01,0x01,0x13,0x13};   
  11. uchar miao,fen,shi,ri,yue,week,nian;
  12. uchar i;
  13. uchar count = 0,count1 = 0,speed = 0,quan = 0;//中断次数计算,速度
  14. unsigned long speed1,juli,time2;
  15. float f_hz,speed_km,speed_m;

  16. uchar flag_lc = 0;   //开始计算速度使能
  17. uchar flag_stop_en;   //要确定车子是否停下了

  18. uint juli_s;             //每秒走的距离
  19. uint juli_z;         //总路程
  20. float zhijing = 0.55;  //直径 0.55M
  21. uint s_zhijing = 55;


  22. uchar menu_1;        //菜单设置变量
  23. uchar menu_2;        //菜单设置变量

  24. long zong_lc;        //总量程

  25. uchar flag_200ms;
  26. uint shudu;   //定义速度的变量
  27. uint bj_shudu = 80;         //报警速度


  28. //这三个引脚参考资料
  29. sbit rs=P1^0;         //寄存器选择信号 H:数据寄存器          L:指令寄存器
  30. sbit rw=P1^1;         //寄存器选择信号 H:数据寄存器          L:指令寄存器
  31. sbit e =P1^2;         //片选信号   下降沿触发

  32. uchar code table_num[]="0123456789abcdefg";
  33. uchar i;

  34. sbit beep = P3^7;   //蜂鸣器IO口定义



  35. /******************1ms 延时函数*******************/
  36. void delay_1ms(uint q)
  37. {
  38.         uint i,j;
  39.         for(i=0;i<q;i++)
  40.                 for(j=0;j<120;j++);
  41. }


  42. /********************************************************************
  43. * 名称 : delay_uint()
  44. * 功能 : 小延时。
  45. * 输入 : 无
  46. * 输出 : 无
  47. ***********************************************************************/
  48. void delay_uint(uint q)
  49. {
  50.         while(q--);
  51. }

  52. /********************************************************************
  53. * 名称 : write_com(uchar com)
  54. * 功能 : 1602命令函数
  55. * 输入 : 输入的命令值
  56. * 输出 : 无
  57. ***********************************************************************/
  58. void write_com(uchar com)
  59. {
  60.         i =0;
  61.         e=0;
  62.         rs=0;
  63.         rw=0;
  64.         P0=com;
  65.         delay_uint(3);
  66.         e=1;
  67.         delay_uint(25);
  68.         e=0;
  69. }

  70. /********************************************************************
  71. * 名称 : write_data(uchar dat)
  72. * 功能 : 1602写数据函数
  73. * 输入 : 需要写入1602的数据
  74. * 输出 : 无
  75. ***********************************************************************/
  76. void write_data(uchar dat)
  77. {
  78.         i =0;
  79.         e=0;
  80.         rs=1;
  81.         rw=0;
  82.         P0=dat;
  83.         delay_uint(3);
  84.         e=1;
  85.         delay_uint(25);
  86.         e=0;       
  87. }

  88. /********************************************************************
  89. * 名称 : write_sfm2(uchar hang,uchar add,uchar date)
  90. * 功能 : 显示2位十进制数,如果要让第一行,第五个字符开始显示"23" ,调用该函数如下
  91.                   write_sfm1(1,5,23)
  92. * 输入 : 行,列,需要输入1602的数据
  93. * 输出 : 无
  94. ***********************************************************************/
  95. void write_sfm2(uchar hang,uchar add,uint date)
  96. {
  97.         if(hang==1)   
  98.                 write_com(0x80+add);
  99.         else
  100.                 write_com(0x80+0x40+add);
  101.         write_data(0x30+date/10%10);
  102.         write_data(0x30+date%10);       
  103. }

  104. /********************************************************************
  105. * 名称 : write_sfm4(uchar hang,uchar add,uchar date)
  106. * 功能 : 显示2位十进制数,如果要让第一行,第五个字符开始显示"23" ,调用该函数如下
  107.                   write_sfm1(1,5,23)
  108. * 输入 : 行,列,需要输入1602的数据
  109. * 输出 : 无
  110. ***********************************************************************/
  111. void write_sfm4(uchar hang,uchar add,uint date)
  112. {
  113.         if(hang==1)   
  114.                 write_com(0x80+add);
  115.         else
  116.                 write_com(0x80+0x40+add);
  117.         write_data(0x30+date/10000%10);
  118.         write_data(0x30+date/1000%10);
  119.         write_data(0x30+date/100%10);       
  120.         write_data(0x30+date/10%10);
  121.         write_data(0x30+date%10);       
  122.         write_data('k');       
  123.         write_data('m');       
  124. }

  125. void write_sfm7(uchar hang,uchar add,uint date)
  126. {
  127.         if(hang==1)   
  128.                 write_com(0x80+add);
  129.         else
  130.                 write_com(0x80+0x40+add);
  131.         write_data(0x30+date/100000%10);
  132.         write_data(0x30+date/100000%10);
  133.         write_data(0x30+date/10000%10);
  134.         write_data(0x30+date/1000%10);
  135.         write_data('.');       
  136.         write_data(0x30+date/100%10);       
  137.         write_data(0x30+date/10%10);
  138.         write_data('k');       
  139.         write_data('m');       
  140. }

  141. /***********************lcd1602上显示两位十进制数************************/
  142. void write_sfm1(uchar hang,uchar add,uchar date)
  143. {
  144.         if(hang==1)   
  145.                 write_com(0x80+add);
  146.         else
  147.                 write_com(0x80+0x40+add);
  148.         write_data(0x30+date % 10);       
  149. }


  150. /********************************************************************
  151. * 名称 : write_string(uchar hang,uchar add,uchar *p)
  152. * 功能 : 改变液晶中某位的值,如果要让第一行,第五个字符开始显示"ab cd ef" ,调用该函数如下
  153.                   write_string(1,5,"ab cd ef;")
  154. * 输入 : 行,列,需要输入1602的数据
  155. * 输出 : 无
  156. ***********************************************************************/
  157. void write_string(uchar hang,uchar add,uchar *p)
  158. {
  159.         if(hang==1)   
  160.                 write_com(0x80+add);
  161.         else
  162.                 write_com(0x80+0x40+add);
  163.                 while(1)
  164.                 {
  165.                         if(*p == '\0')  break;
  166.                         write_data(*p);
  167.                         p++;
  168.                 }       
  169. }


  170. /***********************lcd1602上显示两位十进制数************************/
  171. void write_sfm2_ds1302(uchar hang,uchar add,uchar date)
  172. {
  173.         if(hang==1)   
  174.                 write_com(0x80+add);
  175.         else
  176.                 write_com(0x80+0x40+add);
  177.         write_data(table_num[date / 16]);
  178.         write_data(table_num[date % 16]);       
  179. }

  180. /*****************控制光标函数********************/
  181. void write_guanbiao(uchar hang,uchar add,uchar date)
  182. {               
  183.         if(hang==1)   
  184.                 write_com(0x80+add);
  185.         else
  186.                 write_com(0x80+0x40+add);
  187.         if(date == 1)
  188.                 write_com(0x0f);     //显示光标并且闪烁       
  189.         else
  190.                 write_com(0x0c);   //关闭光标
  191. }         



  192. /********************************************************************
  193. * 名称 : init_1602()
  194. * 功能 : 初始化1602液晶
  195. * 输入 : 无
  196. * 输出 : 无
  197. ***********************************************************************/
  198. void init_1602()      //1602初始化
  199. {
  200.         write_com(0x38);
  201.         write_com(0x0c);
  202.         write_com(0x06);
  203.         delay_uint(1000);
  204.         write_string(1,0,"sd:00km/h  00:00");               
  205.         write_string(2,0,"lc:00.00km      ");       
  206. }

  207. /*************写一个数据到对应的地址里***************/
  208. void write_ds1302(uchar add,uchar dat)
  209. {               
  210.         rst = 1;                         //把复位线拿高
  211.         for(i=0;i<8;i++)
  212.         {                                     //低位在前
  213.                 clk = 0;                 //时钟线拿低开始写数据
  214.                 io = add & 0x01;           
  215.                 add >>= 1;                 //把地址右移一位
  216.                 clk = 1;                 //时钟线拿高
  217.         }       
  218.         for(i=0;i<8;i++)
  219.         {
  220.                 clk = 0;                 //时钟线拿低开始写数据
  221.                 io = dat & 0x01;
  222.                 dat >>= 1;                 //把数据右移一位
  223.                 clk = 1;                 //时钟线拿高
  224.         }
  225.         rst = 0;                         //复位线合低
  226.         clk = 0;
  227.         io = 0;
  228. }

  229. /*************从对应的地址读一个数据出来***************/
  230. uchar read_ds1302(uchar add)
  231. {
  232.         uchar value,i;
  233.         rst = 1;                         //把复位线拿高
  234.         for(i=0;i<8;i++)
  235.         {                                     //低位在前
  236.                 clk = 0;                 //时钟线拿低开始写数据
  237.                 io = add & 0x01;           
  238.                 add >>= 1;                 //把地址右移一位
  239.                 clk = 1;                 //时钟线拿高
  240.         }               
  241.         for(i=0;i<8;i++)
  242.         {
  243.                 clk = 0;                 //时钟线拿低开始读数据
  244.                 value >>= 1;
  245.                 if(io == 1)
  246.                         value |= 0x80;
  247.                 clk = 1;                 //时钟线拿高
  248.         }
  249.         rst = 0;                         //复位线合低
  250.         clk = 0;
  251.         io = 0;
  252.         return value;                 //返回读出来的数据
  253. }



  254. /*************把要的时间 年月日 都读出来***************/
  255. void read_time()
  256. {
  257.         miao = read_ds1302(read_add[0]);        //读秒
  258.         fen  = read_ds1302(read_add[1]);        //读分
  259.         shi  = read_ds1302(read_add[2]);        //读时
  260.         ri   = read_ds1302(read_add[3]);        //读日
  261.         yue  = read_ds1302(read_add[4]);        //读月
  262.         nian = read_ds1302(read_add[5]);        //读年
  263.         week = read_ds1302(read_add[6]);        //读星期
  264. }

  265. /*************把要写的时间 年月日 都写入ds1302里***************/
  266. void write_time()
  267. {
  268.         write_ds1302(0x8e,0x00);                        //打开写保护
  269.         write_ds1302(write_add[0],miao);        //写秒
  270.         write_ds1302(write_add[1],fen);                //写分
  271.         write_ds1302(write_add[2],shi);                //写时
  272.         write_ds1302(write_add[3],ri);                //写日
  273.         write_ds1302(write_add[4],yue);                //写月
  274.         write_ds1302(write_add[5],nian);        //写星期
  275.         write_ds1302(write_add[6],week);        //写年
  276.         write_ds1302(0x8e,0x80);                        //关闭写保护
  277. }

  278. /*************定时器0初始化程序***************/
  279. void init_1602_ds1302()
  280. {
  281.         write_sfm2_ds1302(1,11,shi);       
  282.         write_sfm2_ds1302(1,14,fen);       
  283. }

  284. /***********外部中断0初始化程序****************/
  285. void init_int0()
  286. {
  287.         EX0=1;                          //允许外部中断0中断
  288.         EA=1;                           //开总中断
  289.         IT0 = 1;                   //外部中断0负跳变中断
  290. }


  291. /*************定时器0初始化程序***************/
  292. void time_init()          //定时器0初始化程序
  293. {
  294.         EA   = 1;                   //开总中断
  295.         TMOD=0x11;
  296.   ET0=1;
  297.   TR0=1;
  298.   TH0=(65536-50000)/256;
  299.   TL0=(65536-50000)%256;
  300. }

  301. /********************独立按键程序*****************/
  302. uchar key_can;         //按键值

  303. void key()         //独立按键程序
  304. {
  305.         static uchar key_new;
  306.         key_can = 20;                   //按键值还原
  307.         P3 |= 0x78;                     //对应的按键IO口输出为1
  308.         if((P3 & 0x78) != 0x78)                //按键按下
  309.         {
  310.                 delay_1ms(1);                     //按键消抖动
  311.                 if(((P3 & 0x78) != 0x78) && (key_new == 1))
  312.                 {                                                //确认是按键按下
  313.                         key_new = 0;
  314.                         switch(P3 & 0x78)
  315.                         {
  316.                                 case 0x70:  key_can = 4;  break;         //得到按键值
  317.                                 case 0x68:  key_can = 3;  break;         //得到按键值
  318.                                 case 0x58:  key_can = 2;  break;         //得到按键值
  319.                                 case 0x38:  key_can = 1;  break;         //得到按键值
  320.                         }
  321.                 }                       
  322.         }
  323.         else
  324.                 key_new = 1;       
  325. }


  326. /**********************设置函数************************/
  327. void key_with()
  328. {
  329.         if(key_can == 1)        //设置键
  330.         {
  331.                 menu_1++;
  332.                 if(menu_1 == 1)          //设置时间
  333.                 {
  334.                         menu_2 = 1;
  335.                         write_string(1,0,"    :  :    W:  ");                       
  336.                         write_string(2,0," 20  -  -       ");       
  337.                 }
  338.                 if(menu_1 == 2)          //设置报警速度
  339.                 {
  340.                         menu_2 = 1;
  341.                         write_string(1,0,"set-sd:00km/h   ");                       
  342.                         write_string(2,0,"zlc:             ");       
  343.                 }
  344.                 if(menu_1 == 3)          //设置直径
  345.                 {
  346.                         menu_2 = 1;
  347.                         write_string(1,0,"  Set Zhijing  ");                       
  348.                         write_string(2,0,"               ");       
  349.                 }
  350.                 menu_2 = 1;

  351.                 if(menu_1 > 2)    //回到正常显示
  352.                 {
  353.                         menu_1 = 0;
  354.                         write_guanbiao(1,2,0);          //关闭光标
  355.                         init_1602();      //1602初始化     //初始化液晶显示               
  356.                 }
  357.         }
  358.         if(key_can == 2)        //选择键
  359.         {
  360.                 if(menu_1 == 1)                  //设置时间
  361.                 {
  362.                         menu_2 ++;
  363.                         if(menu_2 > 7)
  364.                                 menu_2 = 1;
  365.                 }
  366.                 if(menu_1 == 2)                 //设置
  367.                 {
  368.                         menu_2 ++;
  369.                         if(menu_2 > 2)
  370.                                 menu_2 = 1;                               
  371.                 }

  372.         }
  373.         if(menu_1 == 1)
  374.         {
  375.                 if(menu_2 == 1)                  //设置时
  376.                 {
  377.                         if(key_can == 3)        //加
  378.                         {
  379.                                 shi+=0x01;
  380.                                 if((shi & 0x0f) >= 0x0a)
  381.                                         shi = (shi & 0xf0) + 0x10;
  382.                                 if(shi >= 0x24)
  383.                                         shi = 0;
  384.                         }               
  385.                         if(key_can == 4)        //减
  386.                         {
  387.                                 if(shi == 0x00)
  388.                                         shi = 0x24;
  389.                                 if((shi & 0x0f) == 0x00)
  390.                                         shi = (shi | 0x0a) - 0x10;
  391.                                 shi -- ;
  392.                         }                                         
  393.                 }
  394.                 if(menu_2 == 2)                  //设置分
  395.                 {
  396.                         if(key_can == 3)        //加
  397.                         {
  398.                                 fen+=0x01;
  399.                                 if((fen & 0x0f) >= 0x0a)
  400.                                         fen = (fen & 0xf0) + 0x10;
  401.                                 if(fen >= 0x60)
  402.                                         fen = 0;
  403.                         }               
  404.                         if(key_can == 4)        //减          
  405.                         {
  406.                                 if(fen == 0x00)
  407.                                         fen = 0x5a;
  408.                                 if((fen & 0x0f) == 0x00)
  409.                                         fen = (fen | 0x0a) - 0x10;
  410.                                 fen -- ;
  411.                         }       
  412.                 }
  413.                 if(menu_2 == 3)                  //设置秒
  414.                 {
  415.                         if(key_can == 3)        //加
  416.                         {
  417.                                 miao+=0x01;
  418.                                 if((miao & 0x0f) >= 0x0a)
  419.                                         miao = (miao & 0xf0) + 0x10;
  420.                                 if(miao >= 0x60)
  421.                                         miao = 0;
  422.                         }       
  423.                         if(key_can == 4)        //减          
  424.                         {
  425.                                 if(miao == 0x00)
  426.                                         miao = 0x5a;
  427.                                 if((miao & 0x0f) == 0x00)
  428.                                         miao = (miao | 0x0a) - 0x10;
  429.                                 miao -- ;                       
  430.                         }
  431.                 }
  432.                 if(menu_2 == 4)                  //设置星期
  433.                 {
  434.                         if(key_can == 3)        //加
  435.                         {
  436.                             week+=0x01;
  437.                                 if((week & 0x0f) >= 0x0a)
  438.                                         week = (week & 0xf0) + 0x10;
  439.                                 if(week >= 0x08)
  440.                                         week = 1;
  441.                         }               
  442.                         if(key_can == 4)        //减          
  443.                         {
  444.                                 if(week == 0x01)
  445.                                         week = 0x08;
  446.                                 if((week & 0x0f) == 0x00)
  447.                                         week = (week | 0x0a) - 0x10;
  448.                                 week -- ;
  449.                         }       
  450.                 }
  451.                 if(menu_2 == 5)                  //设置年
  452.                 {
  453.                         if(key_can == 3)        //加
  454.                         {
  455.                             nian+=0x01;
  456.                                 if((nian & 0x0f) >= 0x0a)
  457.                                         nian = (nian & 0xf0) + 0x10;
  458.                                 if(nian >= 0x9a)
  459.                                         nian = 1;
  460.                         }               
  461.                         if(key_can == 4)        //减          
  462.                         {
  463.                                 if(nian == 0x01)
  464.                                         nian = 0x9a;
  465.                                 if((nian & 0x0f) == 0x00)
  466.                                         nian = (nian | 0x0a) - 0x10;
  467.                                 nian -- ;               
  468.                         }       
  469.                 }
  470.                 if(menu_2 == 6)                  //设置月
  471.                 {
  472.                         if(key_can == 3)        //加
  473.                         {
  474.                             yue+=0x01;
  475.                                 if((yue & 0x0f) >= 0x0a)
  476.                                         yue = (yue & 0xf0) + 0x10;
  477.                                 if(yue >= 0x13)
  478.                                         yue = 1;
  479.                         }               
  480.                         if(key_can == 4)        //减          
  481.                         {
  482.                                 if(yue == 0x01)
  483.                                         yue = 0x13;
  484.                                 if((yue & 0x0f) == 0x00)
  485.                                         yue = (yue | 0x0a) - 0x10;
  486.                                 yue -- ;                                       
  487.                         }       
  488.                 }
  489.                 if(menu_2 == 7)                  //设置日
  490.                 {
  491.                         if(key_can == 3)        //加
  492.                         {
  493.                     ri+=0x01;
  494.                         if((ri & 0x0f) >= 0x0a)
  495.                                 ri = (ri & 0xf0) + 0x10;
  496.                         if(ri >= 0x32)
  497.                                 ri = 0;                       
  498.                         }               
  499.                         if(key_can == 4)        //减          
  500.                         {
  501.                                 if(ri == 0x01)
  502.                                         ri = 0x32;
  503.                                 if((ri & 0x0f) == 0x00)
  504.                                         ri = (ri | 0x0a) - 0x10;
  505.                                 ri -- ;                       
  506.                         }       
  507.                 }
  508.                 write_sfm2_ds1302(1,2,shi);           //显示时
  509.                 write_sfm2_ds1302(1,5,fen);           //显示分
  510.                 write_sfm2_ds1302(1,8,miao);           //显示秒
  511.                 write_sfm1(1,14,week);           //显示星期                                       
  512.                 write_sfm2_ds1302(2,3,nian);           //显示年
  513.                 write_sfm2_ds1302(2,6,yue);           //显示月
  514.                 write_sfm2_ds1302(2,9,ri);           //显示日
  515.                 switch(menu_2)           // 光标显示
  516.                 {
  517.                         case 1:  write_guanbiao(1,2,1);  break;
  518.                         case 2:  write_guanbiao(1,5,1);  break;
  519.                         case 3:  write_guanbiao(1,8,1);  break;
  520.                         case 4:  write_guanbiao(1,14,1); break;
  521.                         case 5:  write_guanbiao(2,3,1);  break;
  522.                         case 6:  write_guanbiao(2,6,1);  break;
  523.                         case 7:  write_guanbiao(2,9,1);  break;
  524.                 }
  525.                 write_time();           //把时间写进去
  526.         }       

  527.         if(menu_1 == 2)
  528.         {               
  529.                 if(menu_2 == 1)                  //设置速度
  530.                 {
  531.                         if(key_can == 3)        //加
  532.                         {
  533.                                 bj_shudu++;
  534.                                 if(bj_shudu >= 99)
  535.                                         bj_shudu = 99;
  536.                         }       
  537.                         if(key_can == 4)        //减          
  538.                         {
  539.                                 if(bj_shudu != 0)
  540.                                         bj_shudu -- ;                       
  541.                         }
  542.                 }       
  543.                 if(menu_2 == 2)                  //把总量程清零
  544.                 {
  545.                         if(key_can == 3)       
  546.                         {
  547.                                 zong_lc= 0;
  548.                         }       
  549.                         if(key_can == 4)                  
  550.                         {
  551.                                 zong_lc= 0;                       
  552.                         }
  553.                 }       


  554.                 write_sfm2(1,7,bj_shudu);   //显示报警速度
  555.                 write_sfm7(2,4,zong_lc);   //显示
  556.                
  557.                 switch(menu_2)           // 光标显示
  558.                 {
  559.                         case 1:  write_guanbiao(1,6,1);  break;
  560.                         case 2:  write_guanbiao(2,3,1);  break;
  561.                 }
  562.         }
  563.         if(menu_1 == 3)
  564.         {               
  565.                 if(key_can == 3)        //加
  566.                 {
  567.                         s_zhijing++;
  568.                         if(s_zhijing >= 999)
  569.                                 s_zhijing = 999;
  570.                 }       
  571.                 if(key_can == 4)        //减          
  572.                 {
  573.                         if(s_zhijing != 0)
  574.                                 s_zhijing -- ;                       
  575.                 }
  576.                 zhijing = s_zhijing ;
  577.                 write_sfm2(2,5,s_zhijing);                //显示
  578.         }
  579. }

  580. /****************报警函数***************/
  581. void clock_h_l()
  582. {   
  583.         if(shudu >= bj_shudu)
  584.         {
  585.                 beep = ~ beep;           //蜂鸣器报警                       
  586.         }
  587.         else
  588.         {
  589.                 beep = 1;       
  590.         }                       
  591. }

  592. /******************主程序**********************/          
  593. void main()
  594. {
  595.         init_1602();      //1602初始化
  596.         init_int0();
  597.         time_init();          //定时器0初始化程序
  598.         while(1)
  599.         {         
  600.                 key();                         //按键程序
  601.                 if(key_can < 10)
  602.                 {
  603.                         key_with();       
  604.                 }
  605.                 read_time();                  //读时间
  606.                 init_1602_ds1302();   //显示时钟
  607.                 clock_h_l();        //报警函数
  608.                 write_sfm2(1,3,shudu);//显示速度,单位米每秒       
  609.                 write_sfm4(2,3,juli_z/1000);//显示里程,单位千米每小时       
  610.         }
  611. }

  612. /*********************外部中断0中断服务程序************************/
  613. void int0() interrupt 0
  614. {
  615.         f_hz ++;
  616. }

  617. /*************定时器0中断服务程序***************/
  618. void time0_int() interrupt 1
  619. {       
  620.         TH0 = (65536-50000)/256;
  621.   TL0 = (65536-50000)%256;
  622.         count ++;
  623.         if(count == 20)//定时一秒
  624.         {
  625.                 EX0 = 0;//关闭外部中断
  626.                 count = 0;//清空计数
  627.                 //1s = 1 / 1000000us;          // 1m/s=0.001km除以1/3600h=3.6km/h
  628.                 speed_m = (f_hz * zhijing * 3.14) / 2 ;        //算出来的是m/s
  629.                 speed_km = speed_m * 3.6 ;        //(带个小数点) km/s
  630.                 shudu = (uint)speed_km;
  631.                 juli_z = (juli_z + (uint)speed_m) ;        //总路程km       
  632.                 zong_lc += speed_m;
  633.                 f_hz = 0;//圈数清零
  634.                 TH0 = 0x3c;//重新赋值
  635.     TL0 = 0xb0;  
  636.                 EX0 = 1;//打开外部中断
  637.         }
  638. }

  639. /*************定时器1中断服务程序***************/
  640. void time1_int() interrupt 3
  641. {
  642.         TH0 = 0x3c;
  643.         TL0 = 0xb0;     // 50ms
  644.         count1++;
  645.         if(count1  == 20)
  646.         {
  647.                 count1 = 0;
  648.                 flag_lc=1;
  649.                 TH0 = 0x3c;
  650.           TL0 = 0xb0;
  651.         }
  652. }
复制代码

所有资料51hei提供下载:
电机测速.rar (83.33 KB, 下载次数: 199)


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏4 分享淘帖 顶2 踩
回复

使用道具 举报

沙发
ID:377455 发表于 2019-5-4 19:19 | 只看该作者
大佬,下载完打不开仿真怎么办
回复

使用道具 举报

板凳
ID:389243 发表于 2019-5-19 19:51 | 只看该作者
谢谢楼主
回复

使用道具 举报

地板
ID:505380 发表于 2020-2-18 15:21 | 只看该作者
995585764 发表于 2019-5-4 19:19
大佬,下载完打不开仿真怎么办

我也打不开
回复

使用道具 举报

5#
ID:298008 发表于 2020-2-19 16:39 | 只看该作者
谢谢楼主分享!!!
回复

使用道具 举报

6#
ID:706083 发表于 2020-3-11 16:03 | 只看该作者
这个程序和电路运行仿真后LCD只能显示2位数的速度吧,有方法让其显示三位数的速度么
回复

使用道具 举报

7#
ID:733382 发表于 2020-4-20 12:53 | 只看该作者
lindeijun1 发表于 2020-2-19 16:39
谢谢楼主分享!!!

你下完可以打开吗
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表