找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 5324|回复: 3
收起左侧

12864液晶的IIC(串行)连接代码

[复制链接]
ID:305504 发表于 2018-11-18 20:22 | 显示全部楼层 |阅读模式
51与12864液晶屏IIC的连接方式
IIC数据接口连接

CS    ————————>RS
SID   ————————>RW
SCLK  ————————>EN
PSB   ————————>PSB
RESET ————————>RESET

单片机源程序如下:
  1. /********************************************************************
  2. *                                                                         *
  3. * TX-51STAR单片机开发系统演示程序 -12864 图片与文字显示             *
  4. *                                                                         *
  5. * ST7920控制芯片(汉字库),串口模式。                                    *
  6. *                                                                         *
  7. * 工作芯片:STC89C516      晶振频率:11.0592MHz                           *
  8. *                                                                         *
  9. /*******************************************************************/


  10. #include <reg51.h>
  11. #include <intrins.h>

  12. #define uchar unsigned char
  13. #define uint  unsigned int

  14. /********** 12864LCD引脚定义 **********/

  15. sbit CS    =  P3^5;        //片选 高电平有效 单片LCD使用时可固定高电平
  16. sbit SID   =  P3^6;        //数据
  17. sbit SCLK  =  P3^4;        //时钟
  18. sbit PSB   =  P3^7;        //低电平时表示用串口驱动,可固定低电平
  19. //sbit RESET =  P2^5;        //LCD复位,LCD模块自带复位电路。可不接

  20. /*********************************************************/

  21. uchar code  DIS1[] = {"    天祥电子   "};
  22. uchar code  DIS2[] = {"TX51STAR实验箱 "};
  23. uchar code  DIS3[] = {"wwwtx-powercom"};
  24. uchar code  DIS4[] = {"TEL:045187572303"};

  25. uchar code Photo1[] ={
  26.      0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,
  27.       0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,
  28.       0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  29.       0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,
  30.       0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  31.       0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,
  32.       0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x02,
  33.       0x10,0x04,0x00,0x40,0xF1,0x02,0x10,0x01,
  34.       0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x02,
  35.       0xFE,0x0A,0x07,0xFE,0x11,0x07,0xBE,0x01,
  36.       0x83,0xED,0x80,0xF8,0x87,0xBE,0x23,0xC4,
  37.       0x82,0x11,0x04,0x02,0x52,0x89,0x48,0x01,
  38.       0x82,0xA5,0x00,0x81,0x88,0xAA,0x21,0x29,
  39.       0x7C,0x20,0x89,0x24,0x54,0x42,0x00,0x01,
  40.       0x80,0x85,0x00,0x80,0x88,0x08,0x31,0x2E,
  41.       0x00,0xDF,0x60,0xA0,0x5B,0xA2,0x7C,0x01,
  42.       0x80,0x82,0x3E,0xF0,0x86,0x08,0x51,0xC2,
  43.       0xFE,0x00,0x02,0x20,0xF0,0x0F,0xC4,0x01,
  44.       0x80,0x82,0x00,0x08,0x81,0x08,0x51,0x45,
  45.       0x10,0x00,0x01,0x20,0x15,0x42,0x7C,0x01,
  46.       0x80,0x85,0x00,0x08,0x80,0x88,0x79,0x2E,
  47.       0x58,0x3F,0x8F,0xFE,0x35,0x47,0x44,0x01,
  48.       0x80,0x85,0x00,0x88,0x88,0x88,0x49,0x21,
  49.       0x54,0x20,0x80,0x50,0xD5,0x4A,0x7C,0x01,
  50.       0x81,0xCD,0x80,0x71,0xCF,0x1C,0xCF,0xBE,
  51.       0x92,0x20,0x80,0x88,0x10,0x82,0x44,0x01,
  52.       0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x01,
  53.       0x30,0x3F,0x87,0x04,0x6F,0xE2,0x7C,0x01,
  54.       0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  55.       0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,
  56.       0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  57.       0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,
  58.       0x80,0x03,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,
  59.       0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xE0,0x01,
  60.       0x80,0x03,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,
  61.       0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xE0,0x01,
  62.       0x80,0x03,0xFF,0xFB,0x7C,0x00,0x70,0x38,
  63.       0x77,0xFA,0xBF,0xFF,0xFF,0xFF,0xE0,0x01,
  64.       0x80,0x03,0xFF,0xFA,0xFF,0xD7,0xF7,0xBF,
  65.       0x40,0x86,0xDF,0xFF,0xFF,0xFF,0xE0,0x01,
  66.       0x80,0x03,0xFF,0xF6,0x06,0x00,0xF0,0x3B,
  67.       0x5E,0xF6,0xFF,0xFF,0xFF,0xFF,0xE0,0x01,
  68.       0x80,0x03,0xFF,0xE5,0xF6,0xD6,0xF7,0xBB,
  69.       0x40,0x80,0x0F,0xFF,0xFF,0xFF,0xE0,0x01,
  70.       0x80,0x03,0xFF,0xD3,0xBE,0x00,0xF0,0x3B,
  71.       0x5F,0xF6,0xFF,0xFF,0xFF,0xFF,0xE0,0x01,
  72.       0x80,0x03,0xFF,0xF6,0xAF,0xDF,0xFF,0xF8,
  73.       0x40,0xF4,0xDF,0xFF,0xFF,0xFF,0xE0,0x01,
  74.       0x80,0x03,0xFF,0xF6,0xAC,0x00,0x00,0x0F,
  75.       0x4A,0xE2,0xBF,0xFF,0xFF,0xFF,0xE0,0x01,
  76.       0x80,0x03,0xFF,0xF5,0xB7,0xBB,0xED,0xFE,
  77.       0x40,0x97,0x7F,0xFF,0xFF,0xFF,0xE0,0x01,
  78.       0x80,0x03,0xFF,0xF3,0xB7,0x17,0xE4,0x19,
  79.       0x2A,0xF6,0x6F,0xFF,0xFF,0xFF,0xE0,0x01,
  80.       0x80,0x03,0xFF,0xF7,0xBF,0xE3,0xD9,0xFF,
  81.       0x6A,0xF1,0xAD,0xEF,0xBE,0xF7,0xE0,0x01,
  82.       0x80,0x03,0xFF,0xF6,0x3C,0x1C,0xBC,0x0C,
  83.       0x7C,0xC7,0xCF,0xFF,0xFF,0xFF,0xE0,0x01,
  84.       0x80,0x03,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,
  85.       0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xE0,0x01,
  86.       0x80,0x03,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,
  87.       0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xE0,0x01,
  88.       0x80,0x03,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,
  89.       0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xE0,0x01,
  90.       0x80,0x03,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,
  91.       0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xE0,0x01,
  92.       0x80,0x03,0xAB,0xFF,0xFF,0xFF,0xFF,0xFF,
  93.       0xFF,0xFF,0x87,0xFF,0xF7,0xFF,0xE0,0x01,
  94.       0x80,0x03,0xC7,0xFF,0xFF,0xFF,0xFF,0xFF,
  95.       0xFF,0xFF,0x80,0xFF,0x73,0xFF,0xE0,0x01,
  96.       0x80,0x03,0x00,0xBF,0xFF,0xFF,0xCF,0xFF,
  97.       0xFF,0xFF,0xFE,0xF6,0x33,0xFF,0xE0,0x01,
  98.       0x80,0x03,0xC7,0xFF,0xFF,0xFF,0x1F,0xBF,
  99.       0xFF,0xF3,0xFE,0xE4,0x13,0xFF,0xE0,0x01,
  100.       0x80,0x03,0xA3,0xFF,0xFF,0xFC,0x1C,0x7D,
  101.       0xFF,0xFC,0xFF,0xC0,0x83,0xFF,0xE0,0x01,
  102.       0x80,0x03,0x6D,0xFF,0xFF,0xF0,0x30,0x71,
  103.       0xFD,0xFF,0x3F,0xB9,0xC7,0xFF,0xE0,0x01,
  104.       0x80,0x03,0xEF,0xDF,0x8F,0xE0,0x00,0x43,
  105.       0x7E,0x3F,0xCF,0xF9,0xF7,0xFF,0xE0,0x01,
  106.       0x80,0x03,0xFF,0xEF,0x07,0xC0,0x00,0x02,
  107.       0x5F,0x07,0xE3,0xFB,0xFF,0xBF,0xE0,0x01,
  108.       0x80,0x03,0xEF,0xAE,0x63,0x80,0x00,0xE4,
  109.       0x43,0xC0,0xF0,0xFF,0xFE,0xFF,0xE0,0x01,
  110.       0x80,0x03,0xFF,0xC6,0x93,0x80,0x00,0x10,
  111.       0x40,0x70,0x18,0x7E,0x3E,0x5F,0xE0,0x01,
  112.       0x80,0x03,0xFF,0x46,0x2B,0x00,0x00,0x00,
  113.       0xC0,0x00,0x04,0x3C,0x1E,0x3F,0xE0,0x01,
  114.       0x80,0x03,0xFF,0x82,0x28,0x00,0x00,0x00,
  115.       0xC0,0xE0,0x00,0x18,0xCC,0x6F,0xE0,0x01,
  116.       0x80,0x03,0xFE,0x81,0x10,0x00,0x00,0x01,
  117.       0x41,0x00,0x00,0x19,0x2C,0x5F,0xE0,0x01,
  118.       0x80,0x03,0xFF,0x01,0x80,0x00,0x3F,0x01,
  119.       0x20,0x00,0x00,0x0A,0x88,0x17,0xE0,0x01,
  120.       0x80,0x03,0xFD,0x01,0xC0,0x00,0xFF,0x82,
  121.       0x20,0x00,0x00,0x02,0x88,0x0F,0xE0,0x01,
  122.       0x80,0x03,0xFE,0x03,0xE0,0x00,0x03,0xC4,
  123.       0x10,0x1F,0x80,0x01,0x10,0x07,0xE0,0x01,
  124.       0x80,0x03,0xFA,0x07,0xA0,0x00,0x07,0x08,
  125.       0x11,0x3F,0xE0,0x00,0x3C,0x0F,0xE0,0x01,
  126.       0x80,0x03,0xFC,0x00,0x20,0x00,0x06,0x10,
  127.       0x08,0xF8,0x00,0x00,0x7E,0x0B,0xE0,0x01,
  128.       0x80,0x03,0xF4,0x00,0x40,0x00,0x02,0x20,
  129.       0x04,0x18,0x00,0x00,0x41,0x07,0xE0,0x01,
  130.       0x80,0x03,0xF8,0x07,0x80,0x04,0x4C,0x20,
  131.       0x02,0x1C,0x00,0x00,0x40,0x06,0xE0,0x01,
  132.       0x80,0x03,0xFC,0x18,0x80,0x02,0x20,0x40,
  133.       0x01,0x0C,0x00,0x00,0x40,0x04,0x60,0x01,
  134.       0x80,0x03,0xF4,0x28,0x40,0x05,0x10,0x40,
  135.       0x00,0x88,0x00,0x00,0x60,0x06,0xE0,0x01,
  136.       0x80,0x03,0xE0,0x44,0x40,0x02,0x00,0x40,
  137.       0x00,0x86,0x44,0x00,0x50,0x07,0xE0,0x01,
  138.       0x80,0x03,0xF0,0x42,0x21,0xC0,0x00,0x40,
  139.       0x00,0x40,0x88,0x0F,0x80,0x02,0xE0,0x01,
  140.       0x80,0x03,0xF8,0x82,0x11,0x30,0x00,0x80,
  141.       0x00,0x41,0x14,0x14,0xC4,0x03,0xE0,0x01,
  142.       0x80,0x03,0xD0,0x81,0x0E,0x2E,0x03,0x00,
  143.       0x00,0x40,0x08,0x22,0x22,0x02,0xE0,0x01,
  144.       0x80,0x03,0xF0,0x81,0x00,0x23,0xFC,0x00,
  145.       0x00,0x40,0x00,0x61,0x01,0x01,0xE0,0x01,
  146.       0x80,0x03,0xD8,0x81,0x00,0x22,0x00,0x00,
  147.       0x00,0x20,0x01,0xA1,0x00,0x03,0x60,0x01,
  148.       0x80,0x03,0xF0,0x81,0x00,0x22,0x00,0x00,
  149.       0x00,0x18,0x0E,0x40,0x80,0x81,0xA0,0x01,
  150.       0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  151.       0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,
  152.       0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,
  153.       0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF
  154. };

  155. /******************************************************************************/
  156. uchar code Photo2[] ={
  157. //海豚戏水

  158. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  159. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  160. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  161. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  162. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  163. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  164. 0x00,0x7F,0x00,0x00,0x00,0x03,0xFE,0x00,0x00,0x00,0x00,0x02,0x00,0x76,0x00,0x00,
  165. 0x00,0xC3,0xFF,0x00,0x00,0x3F,0xE7,0xE0,0x00,0x00,0x00,0x03,0x00,0xFF,0x80,0x00,
  166. 0x00,0x81,0xFF,0xFE,0x00,0x7C,0x03,0xF0,0x00,0x00,0x00,0x01,0xF7,0xCB,0xF8,0x00,
  167. 0x01,0x83,0xF8,0x7B,0x00,0x40,0x00,0x30,0x00,0x00,0x00,0x00,0x3F,0xC0,0xF8,0x00,
  168. 0x03,0x1E,0x3C,0x01,0x80,0x60,0x00,0x30,0x00,0x00,0x00,0x00,0x01,0x40,0x18,0x00,
  169. 0x03,0x30,0x0C,0x01,0xE0,0x6C,0x00,0x70,0x00,0x00,0x00,0x00,0x01,0x7C,0xCC,0x00,
  170. 0x03,0x24,0x6F,0x31,0x20,0x7C,0x40,0x60,0x00,0x00,0x00,0x00,0x01,0x97,0xFC,0x00,
  171. 0x01,0xBF,0xFB,0xF3,0xE0,0x3F,0xE7,0xC0,0x00,0x00,0x00,0x00,0x01,0xFB,0x1C,0x00,
  172. 0x00,0x9B,0xC2,0x1F,0x00,0x00,0x7F,0x80,0x00,0x00,0x00,0x00,0x00,0x0C,0xFE,0x00,
  173. 0x00,0xCC,0x36,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x0F,0xB8,0x00,
  174. 0x00,0x7E,0x7E,0x00,0x00,0x00,0x00,0x00,0x1F,0xF0,0x00,0x00,0x00,0x00,0x00,0x00,
  175. 0x00,0x07,0xE0,0x00,0x00,0x00,0x00,0x00,0x3F,0xFF,0xFF,0x80,0x00,0x00,0x00,0x00,
  176. 0x00,0x00,0x00,0x00,0x00,0x00,0x38,0x00,0xE0,0x03,0xFF,0xE0,0x00,0x00,0x00,0x00,
  177. 0x00,0x00,0x00,0x1F,0x00,0x00,0x78,0x01,0xC0,0x00,0x00,0x70,0x00,0x00,0x00,0x00,
  178. 0x00,0x00,0x00,0x20,0x80,0x00,0xF8,0x01,0x80,0x00,0x00,0x30,0x00,0x00,0x00,0x00,
  179. 0x00,0x00,0x00,0x2E,0x80,0x00,0xD8,0x03,0x60,0x00,0x01,0xF8,0x00,0x00,0x00,0x00,
  180. 0x00,0x00,0x00,0x2A,0x80,0x00,0x98,0x02,0x60,0x00,0x00,0xC0,0x00,0x00,0x00,0x00,
  181. 0x00,0x00,0x00,0x2E,0x80,0x01,0x98,0x03,0xE0,0xC0,0x00,0x60,0x00,0x00,0x00,0x00,
  182. 0x00,0x00,0x00,0x20,0x83,0xFF,0x8C,0x07,0xE1,0xC8,0x00,0x30,0x00,0x00,0x00,0x00,
  183. 0x00,0x00,0x00,0x1F,0x7F,0x07,0x8C,0x0F,0xFF,0x8C,0x00,0x18,0x00,0x00,0x00,0x00,
  184. 0x00,0x00,0x00,0x00,0xB8,0x0F,0xCC,0x1F,0x83,0x8F,0x00,0x18,0x00,0x00,0x00,0x00,
  185. 0x00,0x00,0x00,0x39,0xC0,0x38,0xFE,0x1E,0x00,0x8F,0xC0,0x0C,0x00,0x00,0x00,0x00,
  186. 0x00,0x00,0x00,0x3B,0x80,0x70,0x1E,0x00,0x00,0xCC,0xF0,0x0C,0x00,0x00,0x00,0x00,
  187. 0x00,0x00,0x00,0x76,0x00,0xC0,0x00,0x00,0x00,0x6E,0x3C,0x06,0x00,0x00,0x00,0x00,
  188. 0x00,0x00,0x00,0x7E,0x01,0x80,0x00,0x00,0x00,0x3F,0x0E,0x06,0x00,0x00,0x00,0x00,
  189. 0x00,0x00,0x00,0xDC,0x03,0x00,0x00,0x00,0x00,0x1F,0x03,0x82,0x00,0x00,0x00,0x00,
  190. 0x00,0x00,0x00,0xD8,0x06,0x00,0x00,0x00,0x00,0x00,0x01,0xC2,0x00,0x00,0x00,0x00,
  191. 0x00,0x00,0x00,0xC0,0x0E,0x00,0x00,0x00,0x00,0x00,0x00,0xC2,0x00,0x00,0x00,0x00,
  192. 0x00,0x00,0x00,0x80,0x0C,0x00,0x00,0x00,0x00,0x00,0x00,0x62,0x00,0x00,0x00,0x00,
  193. 0x00,0x00,0x00,0xC0,0x18,0x00,0x00,0x00,0x00,0x00,0x00,0x66,0x00,0x00,0x00,0x00,
  194. 0x00,0x00,0x00,0xC0,0x18,0x00,0x00,0x00,0x00,0x00,0x00,0x26,0x00,0x00,0x00,0x00,
  195. 0x00,0x00,0x00,0x80,0x30,0x00,0x00,0x00,0x00,0x00,0x00,0x6C,0x00,0x00,0x00,0x00,
  196. 0xFF,0xFF,0xFF,0x80,0x3F,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xEF,0xFF,0xFF,0xFE,0x00,
  197. 0x00,0x00,0x01,0x80,0x30,0x00,0x00,0x00,0x00,0x00,0x3F,0xD8,0x00,0x00,0x00,0x00,
  198. 0x3E,0x00,0x01,0x80,0x60,0x00,0x00,0x00,0x07,0xE0,0x3D,0xF0,0x01,0xF0,0x0C,0x00,
  199. 0xFF,0x80,0x01,0x80,0x6C,0x00,0x00,0x00,0x3E,0xF0,0x2C,0x60,0x07,0xFF,0xFC,0x00,
  200. 0x81,0xF8,0x71,0x01,0xDC,0x03,0xFC,0x00,0xF3,0xFF,0x87,0xE0,0x06,0x07,0xE0,0x00,
  201. 0x00,0x3F,0xE1,0x03,0xFC,0x0F,0x1F,0x87,0xC2,0x00,0x04,0xE0,0x00,0x00,0x00,0x00,
  202. 0x00,0x00,0x03,0x00,0x0C,0x1C,0x03,0xFF,0x00,0x00,0x01,0xE0,0x7F,0x03,0xF0,0x00,
  203. 0x00,0x00,0x03,0x00,0x18,0x10,0x00,0x00,0x00,0x00,0x01,0xC1,0xF3,0xF7,0x3C,0x00,
  204. 0xFF,0xC0,0x0F,0x02,0x38,0x00,0x00,0x00,0x00,0x00,0x00,0x0F,0x80,0x7E,0x0E,0x00,
  205. 0xE1,0xFF,0xFF,0x03,0xF0,0x00,0x00,0x00,0x00,0x03,0xF8,0x7C,0x00,0x00,0x02,0x00,
  206. 0x00,0x01,0xE3,0x03,0xC0,0x00,0x03,0xFF,0x00,0x0F,0x1F,0xE0,0x00,0x00,0x00,0x00,
  207. 0x00,0x00,0x03,0x01,0x00,0x00,0x0F,0x03,0xF0,0x3C,0x00,0x00,0x00,0x00,0x00,0x00,
  208. 0x03,0xFC,0x03,0x01,0x0F,0x00,0x0C,0x00,0x7F,0xF0,0x00,0x00,0x00,0x00,0x00,0x00,
  209. 0x0F,0x0F,0x8D,0x01,0x3F,0xE0,0x00,0xF0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  210. 0x1C,0x01,0xFD,0x81,0x30,0x7C,0x03,0xC0,0xFE,0x00,0x00,0x00,0x04,0x00,0x00,0x00,
  211. 0x18,0x00,0x01,0xBF,0x00,0x0F,0xFF,0x07,0xC7,0xE0,0x00,0x00,0x1C,0x00,0x00,0x00,
  212. 0x00,0x00,0x00,0xFF,0x80,0x01,0xF8,0x3E,0x00,0x78,0x0F,0xF0,0x78,0x00,0x00,0x00,
  213. 0x00,0x0F,0x00,0x7F,0x83,0x80,0x3F,0xF1,0xF8,0x1E,0x1C,0x7F,0xE0,0x07,0xE0,0x00,
  214. 0x00,0x1F,0xE0,0x3F,0xDF,0xFE,0x00,0x07,0x9F,0x83,0xF0,0x00,0x00,0x1C,0x7C,0x00,
  215. 0x00,0x78,0x70,0x1F,0xD0,0x07,0xC0,0x3C,0x01,0xF8,0x03,0xC0,0x00,0x18,0x00,0x00,
  216. 0xFF,0xE0,0x1F,0x73,0xE0,0x00,0xFF,0xE0,0x00,0x1F,0xFF,0x00,0x00,0x00,0x00,0x00,
  217. 0x00,0x00,0x07,0xE1,0xE0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  218. 0x00,0x0F,0x80,0x00,0xE1,0xF8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  219. 0x00,0x7F,0xE0,0x00,0x07,0x9E,0x00,0x7F,0xC0,0x60,0x0F,0xC0,0x0F,0x00,0x00,0x00,
  220. 0x00,0xE0,0x7C,0x00,0x03,0xF7,0xC7,0xE0,0xFF,0xE0,0x3F,0xFF,0xFC,0x00,0x00,0x00,
  221. 0x01,0xC0,0x0F,0x00,0x03,0xBD,0xFE,0xFC,0x00,0x00,0xF0,0x1F,0xF0,0x00,0xC6,0x00,
  222. };

  223. /********************************************************
  224. *                                                       *                                                
  225. * 延时t毫秒                                             *
  226. * 11.0592MHz时钟,延时约1ms                             *        
  227. *                                                       *
  228. ********************************************************/
  229. void delay(uint t)
  230. {                           
  231.    uchar k;
  232.    while(t--)
  233.    {
  234.      for(k=0; k<125; k++)
  235.      { }
  236.    }
  237. }

  238. /*********************************************************
  239. *                                                        *
  240. * 延时函数 200us                                         *
  241. *                                                        *
  242. *********************************************************/
  243. void delay_lcd(int ms)
  244. {
  245.   uchar t;
  246.   while(ms--)
  247.   {
  248.     for(t=0; t<20; t++) ;
  249.   }
  250. }

  251. /*********************************************************
  252. *                                                        *
  253. * 发送一个字节                                           *
  254. *                                                        *
  255. *********************************************************/
  256. void sendbyte(uchar bbyte)
  257. {
  258.   uchar i;
  259.   for(i=0;i<8;i++)
  260.   {
  261.    SID=bbyte&0x80; //取出最高位
  262.    SCLK=1;
  263.    _nop_();
  264.    _nop_();
  265.    SCLK=0;
  266.    bbyte<<=1; //左移
  267.   }  
  268. }

  269. /*********************************************************
  270. *                                                        *
  271. * 写指令或数据函数                                       *
  272. *                                                        *
  273. *********************************************************/
  274. void write(bit start, uchar ddata)
  275. {
  276.   uchar start_data,Hdata,Ldata;

  277.   if(start==0)                   //11111,(0),(0),0
  278.    start_data=0xf8;                     //写指令
  279.    else                          //11111,(0),(1),0
  280.    start_data=0xfa;              //写数据
  281.   
  282.   Hdata=ddata&0xf0;                         //取高四位
  283.   Ldata=(ddata<<4)&0xf0;         //取低四位
  284.   sendbyte(start_data);                 //发送起始信号
  285.   delay_lcd(1);                   //延时
  286.   sendbyte(Hdata);                     //发送高四位
  287.   delay_lcd(1);                   //延时
  288.   sendbyte(Ldata);                         //发送低四位
  289.   delay_lcd(1);                   //延时
  290. }

  291. /*********************************************************
  292. *                                                        *
  293. * 初始化LCD                                              *
  294. *                                                        *
  295. *********************************************************/
  296. void lcdinit()         
  297. {
  298.   delay_lcd(10);      //延时等待LCM进入工作状态
  299.   PSB=0; ;                 //串口驱动模式

  300. //  RESET=0;
  301.   delay_lcd(5);
  302. //  RESET=1;           //复位LCD

  303.   CS=1;              //片选 高电平有效

  304.   write(0,0x30);     //基本指令操作
  305.   write(0,0x0c);     //显示打开,光标关,反白关
  306.   write(0,0x01);     //清屏,将DDRAM的地址计数器归零
  307.   write(0,0x06);  
  308. }

  309. /*********************************************************
  310. *                                                        *
  311. * 图形显示                                               *
  312. *                                                        *
  313. *********************************************************/
  314. void photodisplay(uchar *bmp)       
  315. {
  316.   uchar i,j;
  317.   write(0,0x34);        //写数据时,关闭图形显示
  318.   for(i=0;i<32;i++)
  319.   {
  320.     write(0,0x80+i);    //先写入水平坐标值
  321.     write(0,0x80);      //写入垂直坐标值
  322.     for(j=0;j<16;j++)   //再写入两个8位元的数据
  323.     write(1,*bmp++);   
  324.     delay_lcd(1);
  325.   }

  326.   for(i=0;i<32;i++)
  327.   {
  328.     write(0,0x80+i);
  329.     write(0,0x88);
  330.     for(j=0;j<16;j++)
  331.         write(1,*bmp++);
  332.         delay_lcd(1);
  333.   }
  334.   write(0,0x36);       //写完数据,开图形显示
  335. }


  336. /*********************************************************
  337. *                                                        *
  338. * 主函数                                                 *
  339. *                                                        *
  340. *********************************************************/
  341. void main()
  342. {
  343.    uchar i;
  344.    lcdinit();                  //初始化LCD
  345.    
  346.    while(1)
  347.    {
  348.      photodisplay(Photo1);     //显示图片1
  349.      delay(2000);
  350.      
  351.      write(0,0x30);          //基本指令操作
  352.      write(0,0x01);          //清屏

  353.      photodisplay(Photo2);     //显示图片2
  354.      delay(2000);

  355.      write(0,0x30);          //基本指令操作
  356.      write(0,0x01);          //清屏
  357.      
  358.      write(0,0x80);
  359.      for(i=0;i<16;i++) write(1,DIS1[i]);
  360.      write(0,0x90);
  361.      for(i=0;i<16;i++) write(1,DIS2[i]);
  362.      write(0,0x88);
  363.      for(i=0;i<16;i++) write(1,DIS3[i]);
  364.      write(0,0x98);
  365.      for(i=0;i<16;i++) write(1,DIS4[i]);
  366.      delay(2000);
  367.      write(0,0x01);          //清屏
  368.    }
  369. }
  370. /*********************************************************/
复制代码

所有资料51hei提供下载:
112864液晶串行操作方式.zip (36.55 KB, 下载次数: 44)
回复

使用道具 举报

ID:435353 发表于 2018-11-28 22:19 | 显示全部楼层
没有IIC总线通信液晶显示的程序吗?写的这个是液晶串行的而已
回复

使用道具 举报

ID:358930 发表于 2018-11-28 22:52 | 显示全部楼层
这个不是IIC吧!我一惊喜,这就是个SPI三线连接,我上次看了过RS不要的2线屏,改了几个程序能行,但好像不太稳定,不过这个加上IIC转换因该行,就像1602一样,但好像还没有人写。。。。
回复

使用道具 举报

ID:198286 发表于 2020-3-1 11:48 | 显示全部楼层
有人用PCF8576改并口屏的吗?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表