找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 20673|回复: 3
打印 上一主题 下一主题
收起左侧

CD4518+CD4511实现10进制计数器电路图和PCB源文件

[复制链接]
跳转到指定楼层
楼主
本电路采用CD4518芯片,此芯片是二,十进制(8421编码)同步加计数器,内含两个单元的加计数器。有了计数编码输出之后,我们就要进行编码了,我们采用CD4511这样一个译码芯片,它是一个七段码译码器,具有BCD转换,消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流,可直接驱动共阴LED数码管。此电路可以通过脉冲信号的输入,进而进行0到99之间的计数。



                                                                                      4518芯片管脚功能图

                                                                                       4511芯片
管脚功能图

                                                                                      电路原理图




PCB图
Altium Designer画的原理图和PCB图如下:(51hei附件中可下载工程文件)
数电 计数器.rar (640.09 KB, 下载次数: 66)


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏3 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:648737 发表于 2019-11-25 01:12 | 只看该作者
这个是不是一样的啊

图片1.jpg (82.78 KB, 下载次数: 219)

图片1.jpg
回复

使用道具 举报

板凳
ID:413982 发表于 2021-10-9 21:54 | 只看该作者
怎么做成四位计数器
回复

使用道具 举报

地板
ID:1105407 发表于 2023-12-20 21:06 | 只看该作者
有谁知道这个电路原理图中,P1,P2,P3代表什么啊
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表