找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 10540|回复: 2
打印 上一主题 下一主题
收起左侧

单片机LCD1602显示DS1302时钟,按键可调时间程序

[复制链接]
跳转到指定楼层
楼主
ID:445798 发表于 2018-12-17 11:23 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
上个帖子是不可调节。这个程序为可调节,用了矩阵按键里7个按键,每个按键调一个,因为弄成光标移动选择调节的话,光标颜色很暗,不知道什么原因。
  1. /********************************************/
  2. #include <reg52.h>
  3. #include"inc/lcd.h"
  4. #include"inc/key.h"

  5. #define uchar unsigned char
  6. #define uint unsigned int

  7. sbit IO = P3^6;
  8. sbit SCLK = P3^5;
  9. sbit RST = P3^7;
  10. sbit ACC_0 = ACC^0;
  11. sbit ACC_7 = ACC^7;

  12. //写的地址
  13. uchar code write_addr[7]={0x80,0x82,0x84,0x86,0x88,0x8a,0x8c};   
  14. //读的地址
  15. uchar code read_addr[7]={0x81,0x83,0x85,0x87,0x89,0x8b,0x8d};   

  16. /*形式上为十六进,数值是BCD码*/
  17. //存储格式是BCD码秒   分   时   日   月   周   年
  18. uchar time[7]={0x50,0x59,0x14,0x20,0x06,0x06,0x19};

  19. /*如果数值时间是十进制,写入时间时要先转化为BCD码*/
  20. //uchar time[7]={0,25,15,15,12,6,18}; //十进制

  21. uchar KEYRETURN;//键值

  22. void write_byte(uchar dat)//写一个字节
  23. {        
  24.         uchar i;
  25.         ACC=dat;
  26.         for(i=8;i>0;i--)
  27.         {
  28.                 IO=ACC_0;
  29.                 SCLK=0;
  30.                 SCLK=1;
  31.                 ACC=ACC>>1;
  32.         }
  33.          /*
  34.         uchar i;
  35.         for(i=0;i<8;i++)
  36.         {
  37.                 IO = (bit)(dat & 0x01);
  38.                 SCLK = 0;
  39.                 SCLK = 1;
  40.                 dat >>= 1;
  41.         }
  42.          */         
  43. }


  44. uchar read_byte()                       //读一个字节
  45. {        
  46.         uchar i;                                                
  47.         for(i=0;i<8;i++)
  48.         {        
  49.                 ACC_7=IO;
  50.                 SCLK=1;
  51.                 SCLK=0;
  52.                 ACC=ACC>>1;
  53.         }
  54.         IO=0;
  55.         return (ACC);
  56.         /*
  57.         uchar dat,i;
  58.         for(i=0;i<8;i++)
  59.         {
  60.                 if(IO == 1)
  61.                 {
  62.                         dat = dat|0x80;
  63.                 }
  64.                 SCLK = 1;
  65.                 SCLK = 0;
  66.                 dat >>= 1;        
  67.         }
  68.         IO = 0;
  69.         return (dat);
  70.         */

  71. }

  72. void write_1302(uchar add,uchar dat)    //向1302芯片写函数,指定写入地址,数据
  73. {

  74.         RST=0;
  75.         SCLK=0;
  76.         RST=1;
  77.         write_byte(add);
  78.         write_byte(dat);
  79.         SCLK=1;
  80.         RST=0;
  81. }


  82. uchar read_1302(uchar add)                   //从1302读数据函数,指定读取数据来源地址
  83. {
  84.         uchar temp;
  85.         RST=0;
  86.         SCLK=0;
  87.         RST=1;
  88.         write_byte(add);
  89.         temp=read_byte();
  90.         SCLK=1;
  91.         RST=0;
  92.         return(temp);
  93. }


  94. void ds1302_init()
  95. {
  96.         uchar k;
  97.     write_1302(0x8e,0x00);  //禁止写保护,即允许数据写入
  98.     for(k=0;k<7;k++)   //写入7个字节的时钟信号:分秒时日月周年
  99.     {
  100.       write_1302(write_addr[k],time[k]);
  101.     }
  102.     write_1302(0x8e,0x80);  //打开写保护

  103.         /*//写入时间时要先转化为BCD码
  104.         uchar i,tmp;
  105.         write_1302(0x8e,0x00);  //禁止写保护,即允许数据写入
  106.         for (i=0; i<7; i++)  
  107.         {
  108.                 tmp = time[i] / 10;
  109.                 time[i] = time[i] % 10;
  110.                 time[i] = time[i] + tmp*16;        // 十进制转化为BCD格式
  111.                 write_1302(write_addr[i],time[i]);
  112.                 //写入7个字节的时钟信号:分秒时日月周年
  113.         }
  114.     write_1302(0x8e,0x80);  //打开写保护
  115.         */
  116. }

  117. void BCD_STRING(uchar bcd, uchar *str) //BCD转化为字符串
  118. {         
  119.          *str = (bcd >> 4) + '0';
  120.         *(str+1) = (bcd & 0x0f) + '0';
  121. }

  122. void read_time(uchar *timedata)
  123. {
  124.         uchar n;
  125.         for(n=0;n<7;n++)
  126.         {
  127.                  timedata[n]=read_1302(read_addr[n]);  //读取分秒时日月周年
  128.         }        
  129.         BCD_STRING(timedata[6], LCD_TIME+0);//转化后年,存放在LCD_TIME
  130.         BCD_STRING(timedata[4], LCD_TIME+2);//转化后月
  131.         BCD_STRING(timedata[3], LCD_TIME+4);//转化后日
  132.         BCD_STRING(timedata[5], LCD_TIME+6);//转化后周
  133.         BCD_STRING(timedata[2], LCD_TIME+8);//转化后时
  134.         BCD_STRING(timedata[1], LCD_TIME+10);//转化后分
  135.         BCD_STRING(timedata[0], LCD_TIME+12);//转化后秒
  136. }
  137. uchar TEN_BCD(uchar time_buf ) // 十进制转化为BCD格式
  138. {
  139.         uchar tmp;        
  140.         tmp = time_buf / 10;
  141.         time_buf = time_buf % 10;
  142.         time_buf = time_buf + tmp*16;
  143.         return(time_buf);

  144. }
  145. uchar BCD_TEN(uchar bcd) //BCD码转十进制函数
  146. {
  147.          uchar ten;
  148.          ten=bcd>>4;
  149.          return(ten=ten*10+(bcd&=0x0F));
  150. }

  151. void change_time()
  152. {        
  153. //         年     月     日            周           时          分   
  154.         uchar tmp=0,tmp1=1,tmp2=1,tmp3=1,tmp4=0,tmp5=0;
  155.         uchar year,mon,day,week,hour,minu;
  156.                 KEYRETURN=KEY();
  157.                 if(KEYRETURN!=0)
  158.                 {         
  159.                      delay(400);
  160.                         switch(KEYRETURN)
  161.                         {        /*************年*************/
  162.                                 case 0x11:                  
  163.                                 write_1302(0x8e,0x00);//关闭保护
  164.                                 if(BCD_TEN(time[6])<99)//先将读取出来的时间转化为十进制比大小
  165.                                 {
  166.                                         tmp=BCD_TEN(time[6]);//变量等于当前值
  167.                                         tmp++;        //变量再++
  168.                                 }                        
  169.                                 else
  170.                                         tmp=0;//大于99变回0
  171.                                   year=TEN_BCD(tmp);//再转化为BCD码
  172.                                 write_1302(0x8c,year); //再写入
  173.                                 write_1302(0x8e,0x80);;//打开保护
  174.                                 break;
  175.                                 /*效果就是比如初始年是19,按一下键,变成20,而不是从0开始。
  176.                                 如果把读取出来的时间转化为16进制,再改程序,我试了不行*/

  177.                                 /*************月*************/
  178.                                 case 0x21:                        
  179.                                 write_1302(0x8e,0x00);
  180.                                 if(BCD_TEN(time[4])<12)
  181.                                 {
  182.                                         tmp1=BCD_TEN(time[4]);
  183.                                         tmp1++;        
  184.                                 }        
  185.                                 else
  186.                                         tmp1=1;
  187.                                   mon=TEN_BCD(tmp1);
  188.                                 write_1302(0x88,mon);
  189.                                 write_1302(0x8e,0x80);break;
  190.                                 /**********效果同上************/
  191.                                                                
  192.                                 /**************日************/        
  193.                                 case 0x41:                        
  194.                                  write_1302(0x8e,0x00);
  195.                                 if(BCD_TEN(time[3])<31)
  196.                                 {
  197.                                         tmp2=BCD_TEN(time[3]);
  198.                                         tmp2++;        
  199.                                 }
  200.                                 else
  201.                                         tmp2=1;
  202.                                   day=TEN_BCD(tmp2);
  203.                                 write_1302(0x86,day);
  204.                                 write_1302(0x8e,0x80);break;
  205.                                 /**********效果同上*********/

  206.                                 /**************周************/
  207.                                 case 0x81:                  
  208.                                 write_1302(0x8e,0x00);
  209.                                 if(BCD_TEN(time[5])<7)
  210.                                 {
  211.                                         tmp3=BCD_TEN(time[5]);
  212.                                         tmp3++;
  213.                                 }        
  214.                                 else
  215.                                         tmp3=1;
  216.                                   week=TEN_BCD(tmp3);         
  217.                                 write_1302(0x8a,week);
  218.                                 write_1302(0x8e,0x80);break;        
  219.                                 /**********效果同上********/

  220.                                 /**************时************/
  221.                                 case 0x12:                        
  222.                                 write_1302(0x8e,0x00);
  223.                                 if(BCD_TEN(time[2])<23)
  224.                                 {
  225.                                         tmp4=BCD_TEN(time[2]);
  226.                                         tmp4++;
  227.                                 }        
  228.                                 else
  229.                                         tmp4=0;
  230.                                   hour=TEN_BCD(tmp4);         
  231.                                 write_1302(0x84,hour);
  232.                                 write_1302(0x8e,0x80);break;
  233.                                 /**********效果同上*******/

  234.                                 /**************分************/
  235.                                 case 0x22:                        
  236.                                 write_1302(0x8e,0x00);
  237.                                 if(BCD_TEN(time[1])<59)
  238.                                 {
  239.                                         tmp5=BCD_TEN(time[1]);
  240.                                         tmp5++;        
  241.                                 }
  242.                                 else
  243.                                         tmp5=0;
  244.                                   minu=TEN_BCD(tmp5);         
  245.                                 write_1302(0x82,minu);
  246.                                 write_1302(0x8e,0x80);break;
  247.                                 /***********效果同上*******/
  248.                                 
  249.                                 /**************秒************/        
  250.                                 case 0x42:                          
  251.                                 write_1302(0x8e,0x00);
  252.                                 write_1302(0x80,0x00);        
  253.                                 write_1302(0x8e,0x80);break;
  254.                                 /*效果为按下键归0,不像上面按下加1按下加1*/        
  255.                         }
  256.                 }
  257.                 KEYRETURN=0;
  258.         }
  259.                

  260. void main()
  261. {        

  262.         ds1302_init();//1302初始化,设定时间
  263.         Lcd_init();         //        lcd初始化
  264.         while(1)
  265.         {
  266.                 read_time(&time); //读取时间
  267.                 lcd_dis();         //        显示在lcd
  268.                 change_time(); //按键调时
  269.         }
  270.          while(1); //等待
  271. }
复制代码

详细程序可下载免费 按键调节加lcd显示时钟.rar (43.4 KB, 下载次数: 176) 附件

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏2 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:640928 发表于 2019-11-23 20:30 来自手机 | 只看该作者
为什么我试了一下,什么反映也没有
回复

使用道具 举报

板凳
ID:84745 发表于 2020-9-19 22:21 来自手机 | 只看该作者
没Uv2文件,初学者不会
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表