找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 9949|回复: 21
收起左侧

单片机设计的DS18820温度报警器全套设计资料 文档+程序+仿真

  [复制链接]
ID:449590 发表于 2019-1-5 21:00 | 显示全部楼层 |阅读模式
(资料齐全:有参考论文、程序、仿真、开题报告、设计资料、原理图等)
1、本设计使用单片机作为核心进行控制。单片机具有集成度高,通用性好,功能强,特别是体积小,重量轻,耗能低,可靠性高,抗干扰能力强和使用方便等独特优点,在数字化、智能化方面有广泛的用途。温度显示基本范围0.00℃—99.99℃。精度误差小于0.01℃。所测温度值由四位数码管显示。可以设定温度的上下限报警功能

2、本设计主要是介绍了单片机控制下的温度报警系统,详细介绍了其硬件和软件设计,并对其各功能模块做了详细介绍,其主要功能和指标如下:
单片机实时检测温度传感器DS18B20的状态,并将DS18820得到的数据进行处理。上电后数码管显示当前的环境温度,通过按键可设置高低温报警值,当检测到的温度高于设置的报警值的时候,蜂鸣器报警同时报警灯闪烁,温度检测精确到0.1度。并具有掉电保存功能,数据保存在单片机内部EEPOM中,进入设置界面后如果没有键按下系统会在15秒后自动退出设置界面。


原理图
QQ截图20190105204716.png



仿真图
QQ截图20190105204307.png



调试图
QQ截图20130408184659.jpg

QQ截图20130408184929.jpg

QQ截图20130408184955.jpg



资料截图
QQ截图20190105204610.png



实物图
温度上下限.jpg

温度上下限 (1).jpg

温度上下限 (2).jpg

单片机源程序如下:
  1. #include <reg52.h>                 //调用单片机头文件
  2. #define uchar unsigned char  //无符号字符型 宏定义        变量范围0~255
  3. #define uint  unsigned int         //无符号整型 宏定义        变量范围0~65535
  4. #include "eeprom52.h"

  5. //数码管段选定义      0     1    2    3    4    5        6         7          8           9        
  6. uchar code smg_du[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,
  7.                                            0x88,0x83,0xc6,0xa1,0x86,0x8e,0xff};         //断码
  8. //数码管位选定义
  9. uchar code smg_we[]={0xef,0xdf,0xbf,0x7f};
  10. uchar dis_smg[8] = {0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8};        
  11. uchar smg_i = 3;    //显示数码管的个位数
  12. sbit dq   = P2^4;        //18b20 IO口的定义
  13. sbit beep = P2^3;   //蜂鸣器IO口定义

  14. bit flag_lj_en;                 //按键连加使能
  15. bit flag_lj_3_en;         //按键连3次连加后使能  加的数就越大了
  16. uchar key_time,flag_value;      //用做连加的中间变量
  17. bit key_500ms  ;


  18. uint temperature ;  //
  19. bit flag_300ms ;
  20. uchar menu_1;       //菜单设计的变量
  21. uint t_high = 300,t_low = 100;           //温度上下限报警值

  22. /***********************1ms延时函数*****************************/
  23. void delay_1ms(uint q)
  24. {
  25.         uint i,j;
  26.         for(i=0;i<q;i++)
  27.                 for(j=0;j<120;j++);
  28. }

  29. /***********************小延时函数*****************************/
  30. void delay_uint(uint q)
  31. {
  32.         while(q--);
  33. }


  34. /***********************数码显示函数*****************************/
  35. void display()
  36. {
  37.         static uchar i;   
  38.         i++;
  39.         if(i >= smg_i)
  40.                 i = 0;        
  41.         P1 = 0xff;                         //消隐
  42.         P3 = smg_we[i];                          //位选
  43.         P1 = dis_smg[i];                 //段选               

  44. }

  45. /******************把数据保存到单片机内部eepom中******************/
  46. void write_eeprom()
  47. {
  48.         SectorErase(0x2000);
  49.         byte_write(0x2000, t_high % 256);
  50.         byte_write(0x2001, t_high / 256);
  51.         byte_write(0x2002, t_low % 256);
  52.         byte_write(0x2003, t_low / 256);
  53.         byte_write(0x2055, a_a);        
  54. }

  55. /******************把数据从单片机内部eepom中读出来*****************/
  56. void read_eeprom()
  57. {
  58.         t_high  = byte_read(0x2001);
  59.         t_high <<= 8;
  60.         t_high  |= byte_read(0x2000);
  61.         t_low   = byte_read(0x2003);
  62.         t_low <<= 8;
  63.         t_low   |= byte_read(0x2002);
  64.         a_a      = byte_read(0x2055);
  65. }

  66. /**************开机初始化保存的数据*****************/
  67. void init_eeprom()
  68. {
  69.         read_eeprom();                //先读
  70.         if(a_a != 1)                //新的单片机初始单片机内问eeprom
  71.         {
  72.                 t_high = 300;
  73.                 t_low  = 100;
  74.                 a_a = 1;
  75.                 write_eeprom();           //保存数据
  76.         }        
  77. }


  78. /***********************18b20初始化函数*****************************/
  79. void init_18b20()
  80. {
  81.         bit q;
  82.         dq = 1;                                //把总线拿高
  83.         delay_uint(1);            //15us
  84.         dq = 0;                                //给复位脉冲
  85.         delay_uint(80);                //750us
  86.         dq = 1;                                //把总线拿高 等待
  87.         delay_uint(10);                //110us
  88.         q = dq;                                //读取18b20初始化信号
  89.         delay_uint(20);                //200us
  90.         dq = 1;                                //把总线拿高 释放总线
  91. }

  92. /*************写18b20内的数据***************/
  93. void write_18b20(uchar dat)
  94. {
  95.         uchar i;
  96.         for(i=0;i<8;i++)
  97.         {                                         //写数据是低位开始
  98.                 dq = 0;                         //把总线拿低写时间隙开始
  99.                 dq = dat & 0x01; //向18b20总线写数据了
  100.                 delay_uint(5);         // 60us
  101.                 dq = 1;                         //释放总线
  102.                 dat >>= 1;
  103.         }        
  104. }

  105. /*************读取18b20内的数据***************/
  106. uchar read_18b20()
  107. {
  108.         uchar i,value;
  109.         for(i=0;i<8;i++)
  110.         {
  111.                 dq = 0;                         //把总线拿低读时间隙开始
  112.                 value >>= 1;         //读数据是低位开始
  113.                 dq = 1;                         //释放总线
  114.                 if(dq == 1)                 //开始读写数据
  115.                         value |= 0x80;
  116.                 delay_uint(5);         //60us        读一个时间隙最少要保持60us的时间
  117.         }
  118.         return value;                 //返回数据
  119. }

  120. /*************读取温度的值 读出来的是小数***************/
  121. uint read_temp()
  122. {
  123.         uint value;
  124.         uchar low;                           //在读取温度的时候如果中断的太频繁了,就应该把中断给关了,否则会影响到18b20的时序
  125.         init_18b20();                   //初始化18b20
  126.         write_18b20(0xcc);           //跳过64位ROM
  127.         write_18b20(0x44);           //启动一次温度转换命令
  128.         delay_uint(50);                   //500us

  129.         init_18b20();                   //初始化18b20
  130.         
  131.         write_18b20(0xcc);           //跳过64位ROM
  132.         write_18b20(0xbe);           //发出读取暂存器命令
  133.         
  134.         EA = 0;
  135.         low = read_18b20();           //读温度低字节
  136.         value = read_18b20();  //读温度高字节
  137.         EA = 1;
  138.         value <<= 8;                   //把温度的高位左移8位
  139.         value |= low;                   //把读出的温度低位放到value的低八位中
  140.         value *= 0.625;               //转换到温度值 小数
  141.         return value;                   //返回读出的温度 带小数
  142. }

  143. /*************定时器0初始化程序***************/
  144. void time_init()         
  145. {
  146.         EA   = 1;                   //开总中断
  147.         TMOD = 0X01;          //定时器0、定时器1工作方式1
  148.         ET0  = 1;                  //开定时器0中断
  149.         TR0  = 1;                  //允许定时器0定时
  150. }

  151. /********************独立按键程序*****************/
  152. uchar key_can;         //按键值

  153. void key()         //独立按键程序
  154. {
  155.         static uchar key_new;
  156.         key_can = 20;                   //按键值还原
  157.         P2 |= 0x07;
  158.         if(key_500ms == 1)        //连加
  159.         {
  160.                 key_500ms = 0;
  161.                 key_new = 1;
  162.         }
  163.         if((P2 & 0x07) != 0x07)                //按键按下
  164.         {
  165.                 delay_1ms(1);                     //按键消抖动
  166.                 if(((P2 & 0x07) != 0x07) && (key_new == 1))
  167.                 {                                                //确认是按键按下
  168.                         key_new = 0;
  169.                         switch(P2 & 0x07)
  170.                         {
  171.                                 case 0x06: key_can = 3; break;           //得到k2键值
  172.                                 case 0x05: key_can = 2; break;           //得到k3键值
  173.                                 case 0x03: key_can = 1; break;           //得到k4键值
  174.                         }
  175.                         flag_lj_en = 1;         //连加使能
  176.                 }                        
  177.         }
  178.         else
  179.         {
  180.                 if(key_new == 0)
  181.                 {
  182.                         key_new = 1;
  183.                         write_eeprom();                //保存数据
  184.                         flag_lj_en = 0;                //关闭连加使能
  185.                         flag_lj_3_en = 0;        //关闭3秒后使能
  186.                         flag_value = 0;                //清零
  187.                         key_time = 0;
  188.                 }
  189.         }        
  190. }

  191. /****************按键处理数码管显示函数***************/
  192. void key_with()
  193. {
  194.         if(key_can == 1)          //设置键
  195.         {
  196.                 menu_1 ++;
  197.                 if(menu_1 >= 3)
  198.                 {
  199.                         menu_1 = 0;
  200.                         smg_i = 3;                  //数码管显示3位
  201.                 }
  202.         }
  203.         if(menu_1 == 1)                        //设置高温报警
  204.         {
  205.                 smg_i = 4;                  //数码管显示4位
  206.                 if(key_can == 2)
  207.                 {
  208.                         if(flag_lj_3_en == 0)
  209.                                 t_high ++ ;                //按键按下未松开自动加三次        
  210.                         else
  211.                                 t_high += 10;        //按键按下未松开自动加三次之后每次自动加10
  212.                         if(t_high > 990)
  213.                                 t_high = 990;
  214.                 }
  215.                 if(key_can == 3)
  216.                 {
  217.                         if(flag_lj_3_en == 0)
  218.                                 t_high -- ;                //按键按下未松开自动减三次        
  219.                         else
  220.                                 t_high -= 10;        //按键按下未松开自动减三次之后每次自动减10
  221.                         if(t_high <= t_low)
  222.                                 t_high = t_low + 1;
  223.                 }
  224.                 dis_smg[0] = smg_du[t_high % 10];                   //取小数显示
  225.                 dis_smg[1] = smg_du[t_high / 10 % 10] & 0x7f;  //取个位显示
  226.                 dis_smg[2] = smg_du[t_high / 100 % 10] ;           //取十位显示
  227.                 dis_smg[3] = 0x89;         //H
  228.         }        
  229.         if(menu_1 == 2)                        //设置低温报警
  230.         {
  231.                 smg_i = 4;                  //数码管显示4位
  232.                 if(key_can == 2)
  233.                 {
  234.                         if(flag_lj_3_en == 0)
  235.                                 t_low ++ ;                        //按键按下未松开自动加三次        
  236.                         else
  237.                                 t_low += 10;                //按键按下未松开自动加三次之后每次自动加10
  238.                         if(t_low >= t_high)
  239.                                 t_low = t_high - 1;
  240.                 }
  241.                 if(key_can == 3)
  242.                 {
  243.                         if(flag_lj_3_en == 0)
  244.                                 t_low -- ;                        //按键按下未松开自动减三次        
  245.                         else
  246.                                 t_low -= 10;                //按键按下未松开自动加三次之后每次自动加10
  247.                         if(t_low <= 10)
  248.                                 t_low = 10;
  249.                 }
  250.                 dis_smg[0] = smg_du[t_low % 10];                   //取小数显示
  251.                 dis_smg[1] = smg_du[t_low / 10 % 10] & 0x7f;   //取个位显示
  252.                 dis_smg[2] = smg_du[t_low / 100 % 10] ;               //取十位显示
  253.                 dis_smg[3] = 0xc7;          //L
  254.         }        
  255. }  

  256. /****************报警函数***************/
  257. void clock_h_l()
  258. {
  259.         static uchar value;
  260.         if((temperature <= t_low) || (temperature >= t_high))
  261.         {
  262.                 value ++;  //消除实际距离在设定距离左右变化时的干扰
  263.                 if(value >= 2)
  264.                 {
  265.                         beep = ~beep;           //蜂鸣器报警                        
  266.                 }        
  267.         }
  268.         else
  269.         {
  270.                 beep = 1;        
  271.         }                        
  272. }

  273. /****************主函数***************/
  274. void main()
  275. {
  276.         beep = 0;                                //开机叫一声   
  277.         delay_1ms(150);
  278.         P0 = P1 = P2 = P3 = 0xff;
  279.         temperature = read_temp();                //先读出温度的值        
  280.         init_eeprom();  //开始初始化保存的数据
  281.         delay_1ms(650);                                
  282.         temperature = read_temp();                         //先读出温度的值
  283.         dis_smg[0] = smg_du[temperature % 10];         //取温度的小数显示
  284.         dis_smg[1] = smg_du[temperature / 10 % 10] & 0x7f; //取温度的个位显示
  285.         dis_smg[2] = smg_du[temperature / 100 % 10] ;           //取温度的十位显示
  286.         time_init();                    //初始化定时器
  287.         while(1)
  288.         {               
  289.                 key();                                        //按键程序
  290.                 if(key_can < 10)
  291.                 {
  292.                         key_with();                        //设置报警温度        
  293.                 }
  294.                 if(flag_300ms == 1)            //300ms 处理一次温度程序
  295.                 {           
  296.                         flag_300ms = 0;        
  297.                         temperature = read_temp();        //先读出温度的值
  298.                         clock_h_l();        //报警函数
  299.                         if(menu_1 == 0)
  300. ……………………

  301. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码
0.png
所有资料51hei提供下载:
论文报告和设计资料.7z (1.49 MB, 下载次数: 341)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:463000 发表于 2019-1-6 14:03 | 显示全部楼层
这个有仿真图和源程序吗
回复

使用道具 举报

ID:463219 发表于 2019-1-6 18:31 | 显示全部楼层
厉害了我也想要
回复

使用道具 举报

ID:449590 发表于 2019-1-6 22:13 来自手机 | 显示全部楼层
八宝饭 发表于 2019-1-6 14:03
这个有仿真图和源程序吗

有仿真和源程序
回复

使用道具 举报

ID:467673 发表于 2019-1-14 09:09 来自手机 | 显示全部楼层
八宝饭 发表于 2019-1-6 14:03
这个有仿真图和源程序吗

楼主有联系方式吗,在线等,
回复

使用道具 举报

ID:449590 发表于 2019-1-22 08:34 | 显示全部楼层
q123456789000 发表于 2019-1-14 09:09
楼主有联系方式吗,在线等,

有,QQ2593986595
回复

使用道具 举报

ID:79874 发表于 2019-1-22 14:05 | 显示全部楼层
有PCB设计吗,有希望传一份
回复

使用道具 举报

ID:449590 发表于 2019-3-26 22:00 来自手机 | 显示全部楼层
pengyongnet 发表于 2019-1-22 14:05
有PCB设计吗,有希望传一份

有,PCB。需要吗
回复

使用道具 举报

ID:501886 发表于 2019-4-1 21:07 | 显示全部楼层
感谢楼主
回复

使用道具 举报

ID:532223 发表于 2019-5-30 10:18 | 显示全部楼层
eeprom52.h子程序是什么呀?
回复

使用道具 举报

ID:605424 发表于 2019-8-30 11:03 | 显示全部楼层
厉害了
回复

使用道具 举报

ID:643823 发表于 2020-5-27 08:38 | 显示全部楼层
有pcb吗
回复

使用道具 举报

ID:761528 发表于 2020-5-27 10:34 | 显示全部楼层
楼主会8086的汇编吗我有个小部分不大会想咨询一下
回复

使用道具 举报

ID:764061 发表于 2020-7-17 09:54 | 显示全部楼层
ad的库 还有pcb的封装库 能给一下吗谢谢
回复

使用道具 举报

ID:794232 发表于 2020-7-27 21:30 | 显示全部楼层
谢谢,帮助很大
回复

使用道具 举报

ID:605585 发表于 2020-7-28 08:05 | 显示全部楼层
个人爱好51单片机,创建了一个STC15/8的学习群,有兴趣的朋友可以加群共师兄习。
回复

使用道具 举报

ID:848824 发表于 2021-1-29 08:06 来自手机 | 显示全部楼层
能测零下温度吗
回复

使用道具 举报

ID:594968 发表于 2021-1-29 15:39 | 显示全部楼层
哇,挺详细的,是好东西
回复

使用道具 举报

ID:848824 发表于 2021-1-29 16:21 | 显示全部楼层
高限低限能控制继电器就好了
回复

使用道具 举报

ID:574338 发表于 2021-1-29 16:28 | 显示全部楼层
学习了,代码很全面
回复

使用道具 举报

ID:353063 发表于 2021-5-23 17:56 | 显示全部楼层
下载学习,谢谢分享
回复

使用道具 举报

ID:925998 发表于 2021-5-23 17:58 | 显示全部楼层
谢谢分享
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表