找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4973|回复: 6
收起左侧

51单片机制作的洗衣机(加继电器,加盖子开关)源程序+仿真

[复制链接]
ID:259533 发表于 2019-3-8 00:09 | 显示全部楼层 |阅读模式
单片机洗衣机仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)
0.png 0.png 0.png 0.png

单片机源程序如下:
  1. #include<reg51.h>
  2. //****************************//
  3. #define uchar unsigned char
  4. #define uint unsigned int


  5. //***************************//
  6. sbit mo_r = P3^2;          //电机右控制线
  7. sbit mo_l = P3^3;                //电机左控制线

  8. //****************************//
  9. sbit key_menu = P3^4; // 菜单按键
  10. sbit key_on = P3^5;          // 开始按键
  11. sbit key_off = P3^6; //         结束按键
  12. sbit key_se = P3^7;         //         菜单选择按键

  13. //***************************//
  14. sbit led_in = P0^0;                //        进水指示灯
  15. sbit led_xi = P0^1;           //  洗衣指示灯
  16. sbit led_pao = P0^2;        //        泡洗指示灯
  17. sbit led_xx = P0^3;           //  脱水指示灯
  18. sbit led_out = P0^4;  //   出水指示灯
  19. sbit led_over = P0^5;  // 洗衣结束指示灯
  20. sbit led_work = P0^6;  // 电机工作指示灯
  21. sbit led_wring = P0^7;         //        报警指示灯

  22. sbit other = P3^1;         //         脱水盖子保护开关
  23. sbit anther = P3^0;         //        洗衣电源控制开关

  24. //******************************//
  25. uchar code num[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};
  26.                                                                    //
  27. //*****************************//
  28. char sec = 0;                  //  时间秒
  29. char min = 0;                   // 时间分
  30. uchar count=0;                  //  中断计数
  31. uchar flag0=0;                  //  洗衣机工作状态标志
  32. uchar flaga=0;                  //  洗衣机工作状态标志
  33. uchar flagb=0;                  //  洗衣机工作状态标志
  34. uchar flag1=0;                  //  进水次数标志
  35. uchar flag2=0;                  //  出水次数标志
  36. uchar flag3=0;                 //          泡洗次数标志
  37. uchar err =0;           //        报警标志
  38. uchar quan = 0;//正反转计数  
  39. //**********************************//
  40. //         函数声明
  41. //*************************************//
  42. void delay();//        延时函数
  43. void in();        //          进水子程序
  44. void out();         //          出水子程序
  45. void over();  //  结束子程序
  46. void xi();         //          洗衣子程序
  47. void pao();        //         泡衣子程序
  48. void xx(); //        脱水子程序
  49. void on(); //  工作on处理子程序
  50. void se(); //  显示菜单选择
  51. void SEG_display();         //显示时间子程序
  52. void key_scan();   //  按键扫描子程序

  53. //*****************************//
  54. //     延时函数
  55. //****************************//
  56. void delay(uint i)
  57. {
  58.         uint x,y;
  59.         for(x=i;x>0;x--)
  60.          for(y=50;y>0;y--);
  61. }

  62. //******************************//
  63. //           工作on处理子程序
  64. //********************************//
  65. void on()
  66. {
  67.         TMOD=0x01;
  68.         TH0=(65536-50000)/256;
  69.         TL0=(65536-50000)%256;
  70.         EA=1;
  71.         ET0=1;
  72.         TR0=1;

  73.         P0 = 0xff;
  74.         if(flag0 == 0)
  75.             in();
  76.                 flag0=flagb;
  77.       
  78.         if(flag0 == 1)
  79.             xi();
  80.         flag0=flagb;
  81.         if(flag0 == 2)
  82.             pao();
  83.         flag0=flagb;
  84.         if(flag0 == 3)
  85.             xx();
  86.         flag0=flagb;

  87.         if(flag0 == 4)
  88.             out();
  89.         flag0=flagb;
  90.                
  91. }

  92. //*******************************//
  93. //                 结束子程序
  94. //*********************************//
  95. void over()
  96. {        other=0;
  97.         anther=0;
  98.         P0 = 0xff;
  99.         mo_r=0;
  100.         mo_l=0;
  101.         led_over = 0;
  102.         led_wring=0;
  103.         EA=0;

  104. }

  105. //*********************************//
  106. //           进水子程序
  107. //*********************************//
  108. void in()
  109. {        anther=0;
  110.         other=1;
  111.         P0 = 0xff;
  112.         led_in = 0;
  113.         flag1++;
  114.         mo_r = 0;
  115.         mo_l = 0  ;
  116.         min = 0;
  117.         sec = 5;
  118. }
  119. //*********************************//
  120. //                洗衣子程序
  121. //*********************************//
  122. void xi()
  123. {         anther=1;
  124.          other=1;
  125.      P0 = 0xff;
  126.          led_work = 0;
  127.          led_xi = 0;
  128.          mo_r = 1;
  129.          mo_l = 0;
  130.          min = 0;
  131.          sec = 30;
  132.          quan = 0;
  133. }
  134. //*********************************//
  135. //           泡衣(漂洗)子程序
  136. //*********************************//
  137. void pao()
  138. {      
  139.     anther=1;
  140.         other=1;
  141.         P0 = 0xff;
  142.         led_pao = 0;
  143.         led_work = 0;
  144.         flag3++;
  145.         mo_r = 1;
  146.         mo_l = 0;
  147.         min = 0;
  148.         sec = 20;
  149.         quan = 0;
  150. }
  151. //*********************************//
  152. //                脱水子程序
  153. //*********************************//
  154. void xx()
  155. {
  156. //other=0;
  157. //        anther=0;
  158. //        P0 = 0xff;
  159. //        led_xx = 0;
  160. //        led_out=0;
  161. //        mo_r = 0;
  162. //        mo_l = 1;
  163. //        min = 0;
  164. //        sec = 30;
  165.       
  166.          anther=1;
  167.          other=1;
  168.      P0 = 0xff;
  169.         led_xx = 0;
  170.         led_out=0;
  171.          mo_r = 1;
  172.          mo_l = 0;
  173.          min = 0;
  174.          sec = 30;
  175.          quan = 0;
  176. }
  177. //*********************************//
  178. //                 出水子程序
  179. //*********************************//
  180. void out()
  181. {        anther=0;
  182.         other=0;
  183.         P0 = 0xff;
  184.         led_out = 0;
  185.         flag2++;
  186.         mo_r = 0;
  187.         mo_l = 0;
  188.         min = 0;
  189.         sec = 5;
  190. }
  191. //*********************************//
  192. //           显示菜单选择
  193. //*********************************//
  194. void se()
  195. {
  196.         P0 = 0xff;
  197.         if(flaga >= 5)
  198.                 flaga = 0;
  199.         if(flaga == 0)
  200.         {         
  201.                 led_in = 0;
  202.          if(key_on == 0)
  203.                 {
  204.                         delay(5);
  205.                         if(key_on == 0)
  206.                         {
  207.                                 while(!key_on);
  208.                                    flag0=0;
  209.                            flagb=flaga;
  210.                         //           on();
  211.                         }
  212.                 }
  213.         }
  214.         if(flaga == 1)
  215.         {
  216.                 led_xi=0;
  217.          if(key_on == 0)
  218.                 {
  219.                         delay(5);
  220.                         if(key_on == 0)
  221.                         {
  222.                                 while(!key_on);
  223.                                 flag0=0;
  224.                         flagb=flaga;
  225.                         //        on();
  226.                         }
  227.                 }
  228.         }
  229.         if(flaga == 2)
  230.         {
  231.                 led_pao=0;
  232.          if(key_on == 0)
  233.                 {
  234.                         delay(5);
  235.                         if(key_on == 0)
  236.                         {
  237.                                 while(!key_on);
  238.                                 flag0=0;
  239.                         flagb=flaga;
  240.                         //        on();
  241.                         }
  242.                 }
  243.         }
  244.         if(flaga == 3)
  245.         {
  246.                 led_xx=0;
  247.          if(key_on == 0)
  248.                 {
  249.                         delay(5);
  250.                         if(key_on == 0)
  251.                         {
  252.                                 while(!key_on);
  253.                                 flag0=0;
  254.                         flagb=flaga;
  255.                         //        on();
  256.                         }
  257.                 }
  258.         }
  259.         if(flaga == 4)
  260.         {
  261.                 led_out=0;
  262.                  if(key_on == 0)
  263.                 {
  264.                         delay(5);
  265.                         if(key_on == 0)
  266.                         {
  267.                                 while(!key_on);
  268.                                 flag0=0;
  269.                         flagb=flaga;
  270.                         //        on();
  271.                         }
  272.                 }
  273.       
  274.         }
  275. }
  276. //********************************//
  277. //           菜单处理子程序
  278. //**********************************//
  279. void menu()
  280. {      
  281.     min = 0;
  282.         sec = 0;
  283.         mo_r=0;
  284.         mo_l=0;
  285.         SEG_display();

  286.            while(1)
  287.         {
  288.            if(key_on == 0)
  289.                 {
  290.                         delay(5);
  291.                         if(key_on == 0)
  292.                         {
  293.                                 while(!key_on);
  294.                                 on();
  295.                                 break;
  296.                         }
  297.                 }
  298. //**************************//
  299.                 if(key_off == 0)
  300.                 {
  301.                         delay(5);
  302.                         if(key_off == 0)
  303.                         {
  304.                                 while(!key_off);
  305.                                 over();
  306.                                 break;
  307.                         }
  308.                 }
  309. //****************************//
  310.                 if(key_se == 0)
  311.                 {
  312.                         delay(5);
  313.                         if(key_se == 0)
  314.                         {
  315.                                 while(!key_se);
  316.                                 flaga++;
  317.                                 se();
  318.                         }
  319.                 }                              
  320.         }
  321. }
  322. //*********************************//
  323. //                   按键扫描子程序
  324. //*********************************//
  325. void key_scan()
  326. {
  327.         if(key_menu == 0)
  328.         {
  329.                 delay(5);
  330.                 if(key_menu == 0)
  331.                 {
  332.                         while(!key_menu);
  333.                         menu();
  334.                 }
  335.         }
  336. //********************************//
  337.         if(key_on == 0)
  338.         {
  339.                 delay(5);
  340.                 if(key_on == 0)
  341.                 {
  342.                         while(!key_on);
  343.                         on();
  344.                 }
  345.         }
  346. //*********************************//
  347.         if(key_off == 0)
  348.         {
  349.                 delay(5);
  350.                 if(key_off == 0)
  351.                 {
  352.                         while(!key_off);
  353.                         over();
  354.                 }
  355.         }
  356. }
  357. //*******************************//
  358. //        显示子程序
  359. //*********************************//
  360. void SEG_display()
  361. {
  362.         P1=0x01;
  363.         P2 = num[min/10];
  364.         delay(10);
  365.         P1 = 0x02;
  366.         P2 = num[min%10];
  367.         delay(10);
  368.         P1 = 0x04;
  369.         P2 = num[sec/10];
  370.         delay(10);
  371.         P1 = 0x08;
  372.         P2 = num[sec%10];
  373.         delay(10);
  374. }
  375. //*********************************//
  376. //       主函数
  377. //*********************************//
  378. void main()
  379. {
  380.         led_in=1;
  381.         anther=0;
  382.         other=0;
  383.         while(1)
  384.         {
  385.                
  386.                 SEG_display();
  387.                 key_scan();
  388.         }
  389. }
  390. //**********************************//
  391. //            定时器0中断处理程序
  392. //**********************************//
  393. void timer0() interrupt 1
  394. {
  395.         TH0=(65536-50000)/256;
  396.         TL0=(65536-50000)%256;
  397.         count++;
  398.     if(count==20)
  399.         {      
  400.                  count = 0;
  401.                 sec--;
  402.                 if((flag0==1)||(flag0==2))
  403.                 {
  404.                     quan++;
  405.                         switch(quan)
  406.                          {
  407.                                  case 1:mo_r=1;mo_l=0;break;         
  408.                                 case 5:mo_r=0;mo_l=0;break;
  409.                                 case 7:mo_r=0;mo_l=1;break;
  410.                                 case 12:mo_r=0;mo_l=0;break;
  411.                             case 14:mo_r=1;mo_l=0;break;
  412.                                 case 19:mo_r=0;mo_l=0;break;
  413.                                 case 21:mo_r=0;mo_l=1;break;
  414.                             case 26:mo_r=0;mo_l=0;break;
  415.                                 default:;
  416.                          }

  417.                         if(quan==30)
  418.                         {
  419.                            quan=0;
  420.                         }

  421.                 }
  422.          //**********************************//
  423.                 if((sec == 0)&&(min != 0))
  424.                 {
  425.                   min--;
  426.                   sec = 59;
  427.                  }
  428.           //**********************************//
  429.                 if((sec<0)&&(min==0)&&(flag0==0))         //进水结束
  430.                 {         
  431.                      switch(flag1)
  432.                          {
  433.                                  case 1:flag0=1;xi();break;
  434.                                 case 2:flag0=2;pao();break;
  435.                                 case 3:flag0=2;pao();break;
  436.                                 case 4:flag0=2;pao();break;
  437.                                 default: err=1;led_wring = 0;
  438.                          }
  439.                 }
  440.          //**********************************//
  441.                 if((sec<0)&&(min==0)&&(flag0==1))   //洗衣结束
  442.                 {         
  443.                      flag0 = 4;
  444. ……………………

  445. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

所有资料51hei提供下载:
洗衣机.rar (156.26 KB, 下载次数: 147)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:293363 发表于 2019-3-8 11:12 | 显示全部楼层
学习了,谢谢楼主。
回复

使用道具 举报

ID:537708 发表于 2019-5-14 16:23 | 显示全部楼层
你好,能详细说说设计的功能吗
回复

使用道具 举报

ID:92810 发表于 2019-5-16 17:41 | 显示全部楼层
谢谢分享了。。。。
回复

使用道具 举报

ID:545177 发表于 2019-5-23 09:01 | 显示全部楼层
PCB版图有吗
回复

使用道具 举报

ID:541938 发表于 2019-5-23 10:34 来自手机 | 显示全部楼层
楼主,求个音乐喷泉的仿真阔以嘛
回复

使用道具 举报

ID:553033 发表于 2019-6-3 13:57 | 显示全部楼层
请问楼主,显示那里接两个非门是什么作用呢?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表