找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2293|回复: 11
收起左侧

12c5a60s2单片机AD问题,急在线等!

[复制链接]
ID:299872 发表于 2019-3-15 17:26 | 显示全部楼层 |阅读模式
例子里面这句是定义P1全部口做AD,我需要只要P1.7和P1.6应该怎么设置呀??
sfr P1ASF       =   0x9D;           //P1 secondary function control register
回复

使用道具 举报

ID:213173 发表于 2019-3-15 18:13 | 显示全部楼层
  sfr P1ASF =   0x9D; //声明特殊寄存器地址
  P1M1 = 0xc0; //设置P1.7和P1.6端口高阻
  P1M0 = 0x00; //设置P1.7和P1.6端口高阻
  P1ASF =  0xc0;  //设置P1.7和P1.6为AD输入
回复

使用道具 举报

ID:466164 发表于 2019-3-15 18:18 | 显示全部楼层
sbit AD1 = P1^6;  
sbit AD2 = P1^7;
回复

使用道具 举报

ID:401564 发表于 2019-3-15 18:57 | 显示全部楼层
你不看数据手册的吗?
里面都有说明的,12C5A60S2实际上只有一个ADC,当你选择了那些端口用作ADC之后,这些端口就没有其它功能了
你要进行ADC的时候,首先要选定那些端口用作ADC,然后还要另一个寄存器来选择通道,对这些端口中的其中一个进行ADC
自己要看一下数据手册 QQ截图20190315185355.jpg QQ截图20190315185338.jpg
回复

使用道具 举报

ID:299872 发表于 2019-3-15 19:58 | 显示全部楼层
wulin 发表于 2019-3-15 18:13
sfr P1ASF =   0x9D; //声明特殊寄存器地址
  P1M1 = 0xc0; //设置P1.7和P1.6端口高阻
  P1M0 = 0x00;  ...

P1M1 = 0xc0; //设置P1.7和P1.6端口高阻
P1M0 = 0x00; //设置P1.7和P1.6端口高阻
这二句是必然,还是可选呀?
回复

使用道具 举报

ID:299872 发表于 2019-3-15 20:02 | 显示全部楼层
Y_G_G 发表于 2019-3-15 18:57
你不看数据手册的吗?
里面都有说明的,12C5A60S2实际上只有一个ADC,当你选择了那些端口用作ADC之后,这些端 ...

看了,没看懂!!!!!
最后我是改了一句 P1ASF=0XFF  改成 P1ASF=0xc0;才好的。这说明书没一句是这样的。
回复

使用道具 举报

ID:412684 发表于 2019-3-15 20:18 | 显示全部楼层
wulin 发表于 2019-3-15 18:06
sfr P1ASF  =   0x9D;//特殊寄存器

P1ASF = 0xc0;//

很给力
回复

使用道具 举报

ID:473159 发表于 2019-3-15 22:17 | 显示全部楼层
不管你有多么急,你最起码要看一下规格书啊,看不懂的地方提出来!硬是要别人帮你看规格书!

评分

参与人数 1黑币 +15 收起 理由
正规007 + 15 赞一个!

查看全部评分

回复

使用道具 举报

ID:299872 发表于 2019-3-16 01:16 | 显示全部楼层
wulin 发表于 2019-3-15 18:13
sfr P1ASF =   0x9D; //声明特殊寄存器地址
  P1M1 = 0xc0; //设置P1.7和P1.6端口高阻
  P1M0 = 0x00;  ...

P1M1 = 0xc0; //设置P1.7和P1.6端口高阻
  P1M0 = 0x00; //设置P1.7和P1.6端口高阻
把这二句加到哪里呀?加进去了报错呀????
回复

使用道具 举报

ID:123289 发表于 2019-3-16 08:11 | 显示全部楼层
为何不学会查手册呢?将来还会遇到的问题太多了,你永远在线急吗?学习的方法不佳,解决问题的能力需要加强。查手册,如同查字典一样,会解决你99%以上的、这种极低级的问题。
回复

使用道具 举报

ID:213173 发表于 2019-3-16 09:14 | 显示全部楼层
xjtong 发表于 2019-3-16 01:16
P1M1 = 0xc0; //设置P1.7和P1.6端口高阻
  P1M0 = 0x00; //设置P1.7和P1.6端口高阻
把这二句加到哪里呀 ...

给你一个示例程序,此程序是在STC官方例程的基础上修改成适合你需求的应用程序。
  1. //#include <STC12C5A60S2.H>
  2. #include "reg51.h"
  3. #include "intrins.h"

  4. typedef unsigned char BYTE;
  5. typedef unsigned int WORD;

  6. /*Declare SFR associated with the ADC */
  7. /*****************************************/
  8. //下列特殊寄存器为<STC12C5A60S2.H>头文件内容,如使用该头文件不需要此声明
  9. sfr ADC_CONTR   =   0xBC;           //ADC control register
  10. sfr ADC_RES     =   0xBD;           //ADC high 8-bit result register
  11. sfr ADC_RESL    =   0xBE;
  12. sfr ADC_LOW2    =   0xBE;           //ADC low 2-bit result register
  13. sfr P1ASF       =   0x9D;           //P1 secondary function control register
  14. sfr P1M0               =   0x92;
  15. sfr P1M1        =   0x91;
  16. /*****************************************/
  17. /*Define ADC operation const for ADC_CONTR*/
  18. #define ADC_POWER   0x80            //ADC power control bit
  19. #define ADC_FLAG    0x10            //ADC complete flag
  20. #define ADC_START   0x08            //ADC start control bit
  21. #define ADC_SPEEDLL 0x00            //420 clocks
  22. #define ADC_SPEEDL  0x20            //280 clocks
  23. #define ADC_SPEEDH  0x40            //140 clocks
  24. #define ADC_SPEEDHH 0x60            //70 clocks

  25. #define VCC_V  5                                                        //宏定义电源电压5V
  26. WORD ADC1,ADC2;                                                        //ADC转换结果的变量
  27. WORD MV1,MV2;                                                                //换算成电压值(mV)的变量

  28. void InitADC();
  29. WORD GetADCResult(BYTE ch);
  30. void Delay(WORD n);

  31. void main()
  32. {

  33.         P1M1 = 0xc0; //设置P1.7和P1.6端口高阻
  34.         P1M0 = 0x00; //设置P1.7和P1.6端口高阻
  35.         InitADC();         //初始化 ADC

  36.         while (1)
  37.         {
  38.                 ADC1=GetADCResult(6);//保存第7通道ADC转换结果(0~7共8通道)
  39.                 ADC2=GetADCResult(7);//保存第8通道ADC转换结果
  40.                 MV1=(VCC_V*(long)ADC1*10000/1024+5)/10;//强制转换数据类型(32位)运算,得到16位(4舍5入)电压值(mV)
  41.                 MV2=(VCC_V*(long)ADC2*10000/1024+5)/10;
  42.         }
  43. }
  44. /*----------------------------
  45. Get ADC result
  46. ----------------------------*/
  47. WORD GetADCResult(BYTE ch)
  48. {
  49.     ADC_CONTR = ADC_POWER | ADC_SPEEDLL | ch | ADC_START;
  50.     _nop_();                        //Must wait before inquiry
  51.     _nop_();
  52.     _nop_();
  53.     _nop_();
  54.     while (!(ADC_CONTR & ADC_FLAG));//Wait complete flag
  55.     ADC_CONTR &= ~ADC_FLAG;         //Close ADC

  56. //  return ADC_RES;                 //Return ADC result
  57.     return (ADC_RES<<2)|ADC_RESL;                //返回10位ADC
  58. }
  59. /*----------------------------
  60. Initial ADC sfr
  61. ----------------------------*/
  62. void InitADC()
  63. {
  64. //  P1ASF = 0xff;                   //Open 8 channels ADC function
  65.     P1ASF = 0xc0;                                                        //设置P1.7和P1.6端口为ADC输入
  66.     ADC_RES  = 0;                    //Clear previous result
  67.     ADC_RESL = 0;
  68.     ADC_CONTR = ADC_POWER | ADC_SPEEDLL;
  69.     Delay(2);                       //ADC power-on and delay
  70. }
  71. /*----------------------------
  72. Software delay function
  73. ----------------------------*/
  74. void Delay(WORD n)
  75. {
  76.     WORD x;

  77.     while (n--)
  78.     {
  79.         x = 5000;
  80.         while (x--);
  81.     }
  82. }
复制代码
STC12C5A60S2.H头文件
  1. #ifndef __STC12C5A60S2_H_
  2. #define __STC12C5A60S2_H_

  3. /////////////////////////////////////////////////

  4. //--------------------------------------------------------------------------------
  5. //新一代 1T 8051系列 单片机内核特殊功能寄存器 C51 Core SFRs
  6. //                                          7     6      5       4     3    2    1     0   Reset Value
  7. sfr ACC  = 0xE0; //Accumulator                                                              0000,0000
  8. sfr B    = 0xF0; //B Register                                                               0000,0000
  9. sfr PSW  = 0xD0; //Program Status Word      CY    AC    F0    RS1   RS0    OV    F1    P    0000,0000
  10. //-----------------------------------
  11. sbit CY  = PSW^7;
  12. sbit AC  = PSW^6;
  13. sbit F0  = PSW^5;
  14. sbit RS1 = PSW^4;
  15. sbit RS0 = PSW^3;
  16. sbit OV  = PSW^2;
  17. sbit P   = PSW^0;
  18. //-----------------------------------
  19. sfr SP   = 0x81; //Stack Pointer                                                            0000,0111
  20. sfr DPL  = 0x82; //Data Pointer Low Byte                                                    0000,0000
  21. sfr DPH  = 0x83; //Data Pointer High Byte                                                   0000,0000
  22. //--------------------------------------------------------------------------------
  23. //新一代 1T 8051系列 单片机系统管理特殊功能寄存器
  24. //                                          7     6      5    4     3      2    1     0     Reset Value
  25. sfr PCON   = 0x87; //Power Control        SMOD  SMOD0  LVDF  POF   GF1    GF0   PD   IDL    0001,0000
  26. //                                        7     6       5      4     3      2      1      0   Reset Value
  27. sfr AUXR  = 0x8E; //Auxiliary Register  T0x12 T1x12 UART_M0x6 BRTR S2SMOD BRTx12 EXTRAM S1BRS  0000,0000
  28. //-----------------------------------
  29. sfr AUXR1 = 0xA2; //Auxiliary Register 1  -  PCA_P4  SPI_P4  S2_P4  GF2    ADRJ   -    DPS  0000,0000
  30. /*
  31. PCA_P4:
  32.     0, 缺省PCA 在P1 口
  33.     1,PCA/PWM 从P1 口切换到P4 口: ECI 从P1.2 切换到P4.1 口,
  34.                                    PCA0/PWM0 从P1.3 切换到P4.2 口
  35.                                    PCA1/PWM1 从P1.4 切换到P4.3 口
  36. SPI_P4:
  37.     0, 缺省SPI 在P1 口
  38.     1,SPI 从P1 口切换到P4 口: SPICLK 从P1.7 切换到P4.3 口
  39.                                MISO 从P1.6 切换到P4.2 口
  40.                                MOSI 从P1.5 切换到P4.1 口
  41.                                SS 从P1.4 切换到P4.0 口
  42. S2_P4:
  43.     0, 缺省UART2 在P1 口
  44.     1,UART2 从P1 口切换到P4 口: TxD2 从P1.3 切换到P4.3 口
  45.                                  RxD2 从P1.2 切换到P4.2 口
  46. GF2: 通用标志位

  47. ADRJ:
  48.     0, 10 位A/D 转换结果的高8 位放在ADC_RES 寄存器, 低2 位放在ADC_RESL 寄存器
  49.     1,10 位A/D 转换结果的最高2 位放在ADC_RES 寄存器的低2 位, 低8 位放在ADC_RESL 寄存器

  50. DPS: 0, 使用缺省数据指针DPTR0
  51.      1,使用另一个数据指针DPTR1
  52. */
  53. //-----------------------------------
  54. sfr WAKE_CLKO = 0x8F; //附加的 SFR WAK1_CLKO
  55. /*
  56.       7            6          5          4          3       2       1      0         Reset Value
  57.    PCAWAKEUP  RXD_PIN_IE  T1_PIN_IE  T0_PIN_IE  LVD_WAKE    _    T1CLKO  T0CLKO      0000,0000B

  58. b7 - PCAWAKEUP : PCA 中断可唤醒 powerdown。
  59. b6 - RXD_PIN_IE : 当 P3.0(RXD) 下降沿置位 RI 时可唤醒 powerdown(必须打开相应中断)。
  60. b5 - T1_PIN_IE : 当 T1 脚下降沿置位 T1 中断标志时可唤醒 powerdown(必须打开相应中断)。
  61. b4 - T0_PIN_IE : 当 T0 脚下降沿置位 T0 中断标志时可唤醒 powerdown(必须打开相应中断)。
  62. b3 - LVD_WAKE : 当 CMPIN 脚低电平置位 LVD 中断标志时可唤醒 powerdown(必须打开相应中断)。
  63. b2 -
  64. b1 - T1CLKO : 允许 T1CKO(P3.5) 脚输出 T1 溢出脉冲,Fck1 = 1/2 T1 溢出率
  65. b0 - T0CLKO : 允许 T0CKO(P3.4) 脚输出 T0 溢出脉冲,Fck0 = 1/2 T1 溢出率
  66. */
  67. //-----------------------------------
  68. sfr CLK_DIV = 0x97; //Clock Divder          -     -      -       -     -  CLKS2 CLKS1 CLKS0 xxxx,x000
  69. //-----------------------------------
  70. sfr BUS_SPEED = 0xA1; //Stretch register      -     -    ALES1   ALES0   -   RWS2  RWS1  RWS0 xx10,x011
  71. /*
  72. ALES1 and ALES0:
  73. 00 : The P0 address setup time and hold time to ALE negative edge is one clock cycle
  74. 01 : The P0 address setup time and hold time to ALE negative edge is two clock cycles.
  75. 10 : The P0 address setup time and hold time to ALE negative edge is three clock cycles. (default)
  76. 11 : The P0 address setup time and hold time to ALE negative edge is four clock cycles.

  77. RWS2,RWS1,RWS0:
  78.   000 : The MOVX read/write pulse is 1 clock cycle.
  79.   001 : The MOVX read/write pulse is 2 clock cycles.
  80.   010 : The MOVX read/write pulse is 3 clock cycles.
  81.   011 : The MOVX read/write pulse is 4 clock cycles. (default)
  82.   100 : The MOVX read/write pulse is 5 clock cycles.
  83.   101 : The MOVX read/write pulse is 6 clock cycles.
  84.   110 : The MOVX read/write pulse is 7 clock cycles.
  85.   111 : The MOVX read/write pulse is 8 clock cycles.
  86. */
  87. //--------------------------------------------------------------------------------
  88. //新一代 1T 8051系列 单片机中断特殊功能寄存器
  89. //有的中断控制、中断标志位散布在其它特殊功能寄存器中,这些位在位地址中定义
  90. //其中有的位无位寻址能力,请参阅 新一代 1T 8051系列 单片机中文指南
  91. //                                           7     6     5    4     3    2    1    0   Reset Value
  92. sfr IE      = 0xA8;  //中断控制寄存器        EA  ELVD  EADC   ES   ET1  EX1  ET0  EX0  0x00,0000
  93. //-----------------------
  94. sbit EA       = IE^7;
  95. sbit ELVD     = IE^6; //低压监测中断允许位
  96. sbit EADC     = IE^5; //ADC 中断允许位
  97. sbit ES       = IE^4;
  98. sbit ET1      = IE^3;
  99. sbit EX1      = IE^2;
  100. sbit ET0      = IE^1;
  101. sbit EX0      = IE^0;
  102. //-----------------------
  103. sfr IE2       = 0xAF;  //Auxiliary Interrupt   -     -     -    -     -    -  ESPI  ES2  0000,0000B
  104. //-----------------------
  105. //                                          7     6     5    4    3    2    1    0    Reset Value
  106. sfr IP      = 0xB8; //中断优先级低位      PPCA  PLVD  PADC  PS   PT1  PX1  PT0  PX0   0000,0000
  107. //--------
  108. sbit PPCA     = IP^7;  //PCA 模块中断优先级
  109. sbit PLVD     = IP^6;  //低压监测中断优先级
  110. sbit PADC     = IP^5;  //ADC 中断优先级
  111. sbit PS       = IP^4;
  112. sbit PT1      = IP^3;
  113. sbit PX1      = IP^2;
  114. sbit PT0      = IP^1;
  115. sbit PX0      = IP^0;
  116. //-----------------------
  117. //                                         7      6      5     4     3     2     1     0    Reset Value
  118. sfr IPH   = 0xB7; //中断优先级高位       PPCAH  PLVDH  PADCH  PSH  PT1H  PX1H  PT0H  PX0H   0000,0000
  119. sfr IP2   = 0xB5; //                       -      -      -     -     -     -   PSPI   PS2   xxxx,xx00
  120. sfr IPH2  = 0xB6; //                       -      -      -     -     -     -   PSPIH  PS2H  xxxx,xx00
  121. //-----------------------
  122. //新一代 1T 8051系列 单片机I/O 口特殊功能寄存器
  123. //                                      7     6     5     4     3     2     1     0         Reset Value
  124. sfr P0   = 0x80; //8 bitPort0          P0.7  P0.6  P0.5  P0.4  P0.3  P0.2  P0.1  P0.0       1111,1111
  125. sbit P00 = P0^0;
  126. sbit P01 = P0^1;
  127. sbit P02 = P0^2;
  128. sbit P03 = P0^3;
  129. sbit P04 = P0^4;
  130. sbit P05 = P0^5;
  131. sbit P06 = P0^6;
  132. sbit P07 = P0^7;
  133. sfr P0M0 = 0x94; //                                                                         0000,0000
  134. sfr P0M1 = 0x93; //                                                                         0000,0000
  135. sfr P1   = 0x90; //8 bitPort1          P1.7  P1.6  P1.5  P1.4  P1.3  P1.2  P1.1  P1.0       1111,1111
  136. sbit P10 = P1^0;
  137. sbit P11 = P1^1;
  138. sbit P12 = P1^2;
  139. sbit P13 = P1^3;
  140. sbit P14 = P1^4;
  141. sbit P15 = P1^5;
  142. sbit P16 = P1^6;
  143. sbit P17 = P1^7;
  144. sfr P1M0 = 0x92; //                                                                         0000,0000
  145. sfr P1M1 = 0x91; //                                                                         0000,0000
  146. sfr P1ASF = 0x9D; //P1 analog special function
  147. sfr P2   = 0xA0; //8 bitPort2          P2.7  P2.6  P2.5  P2.4  P2.3  P2.2  P2.1  P2.0       1111,1111
  148. sbit P20 = P2^0;
  149. sbit P21 = P2^1;
  150. sbit P22 = P2^2;
  151. sbit P23 = P2^3;
  152. sbit P24 = P2^4;
  153. sbit P25 = P2^5;
  154. sbit P26 = P2^6;
  155. sbit P27 = P2^7;
  156. sfr P2M0 = 0x96; //                                                                         0000,0000
  157. sfr P2M1 = 0x95; //                                                                         0000,0000
  158. sfr P3   = 0xB0; //8 bitPort3          P3.7  P3.6  P3.5  P3.4  P3.3  P3.2  P3.1  P3.0       1111,1111
  159. sbit P30 = P3^0;
  160. sbit P31 = P3^1;
  161. sbit P32 = P3^2;
  162. sbit P33 = P3^3;
  163. sbit P34 = P3^4;
  164. sbit P35 = P3^5;
  165. sbit P36 = P3^6;
  166. sbit P37 = P3^7;
  167. sfr P3M0 = 0xB2; //                                                                         0000,0000
  168. sfr P3M1 = 0xB1; //                                                                         0000,0000
  169. sfr P4   = 0xC0; //8 bitPort4          P4.7  P4.6  P4.5  P4.4  P4.3  P4.2  P4.1  P4.0       1111,1111
  170. sbit P40 = P4^0;
  171. sbit P41 = P4^1;
  172. sbit P42 = P4^2;
  173. sbit P43 = P4^3;
  174. sbit P44 = P4^4;
  175. sbit P45 = P4^5;
  176. sbit P46 = P4^6;
  177. sbit P47 = P4^7;
  178. sfr P4M0 = 0xB4; //                                                                         0000,0000
  179. sfr P4M1 = 0xB3; //                                                                         0000,0000
  180. //                                      7      6         5         4      3     2     1     0     Reset Value
  181. sfr P4SW = 0xBB; //Port-4 switch        -   LVD_P4.6  ALE_P4.5  NA_P4.4   -     -     -     -       x000,xxxx

  182. sfr P5   = 0xC8; //8 bitPort5           -     -       -      -    P5.3  P5.2  P5.1  P5.0    xxxx,1111
  183. sbit P50 = P5^0;
  184. sbit P51 = P5^1;
  185. sbit P52 = P5^2;
  186. sbit P53 = P5^3;
  187. sfr P5M0 = 0xCA; //                                                                         0000,0000
  188. sfr P5M1 = 0xC9; //                                                                         0000,0000
  189. //--------------------------------------------------------------------------------
  190. //新一代 1T 8051系列 单片机定时器特殊功能寄存器
  191. //                                          7     6     5     4     3     2     1     0     Reset Value
  192. sfr TCON = 0x88; //T0/T1 Control           TF1   TR1   TF0   TR0   IE1   IT1   IE0   IT0    0000,0000
  193. //-----------------------------------
  194. sbit TF1 = TCON^7;
  195. sbit TR1 = TCON^6;
  196. sbit TF0 = TCON^5;
  197. sbit TR0 = TCON^4;
  198. sbit IE1 = TCON^3;
  199. sbit IT1 = TCON^2;
  200. sbit IE0 = TCON^1;
  201. sbit IT0 = TCON^0;
  202. //-----------------------------------
  203. sfr TMOD = 0x89; //T0/T1 Modes             GATE1 C/T1  M1_1  M1_0  GATE0 C/T0  M0_1  M0_0   0000,0000
  204. sfr TL0  = 0x8A; //T0 Low Byte                                                              0000,0000
  205. sfr TH0  = 0x8C; //T0 High Byte                                                             0000,0000
  206. sfr TL1  = 0x8B; //T1 Low Byte                                                              0000,0000
  207. sfr TH1  = 0x8D; //T1 High Byte                                                             0000,0000
  208. //--------------------------------------------------------------------------------
  209. //新一代 1T 8051系列 单片机串行口特殊功能寄存器
  210. //                                          7     6     5     4     3     2     1     0     Reset Value
  211. sfr SCON = 0x98; //Serial Control         SM0/FE SM1   SM2   REN   TB8   RB8    TI    RI    0000,0000
  212. //-----------------------------------
  213. sbit SM0 = SCON^7;  //SM0/FE
  214. sbit SM1 = SCON^6;
  215. sbit SM2 = SCON^5;
  216. sbit REN = SCON^4;
  217. sbit TB8 = SCON^3;
  218. sbit RB8 = SCON^2;
  219. sbit TI  = SCON^1;
  220. sbit RI  = SCON^0;
  221. //-----------------------------------
  222. sfr SBUF = 0x99; //Serial Data Buffer                                                     xxxx,xxxx
  223. sfr SADEN = 0xB9; //Slave Address Mask                                                    0000,0000
  224. sfr SADDR = 0xA9; //Slave Address                                                         0000,0000
  225. //-----------------------------------
  226. //                                7      6      5      4      3      2     1     0        Reset Value
  227. sfr S2CON = 0x9A; //S2 Control  S2SM0  S2SM1  S2SM2  S2REN  S2TB8  S2RB8  S2TI  S2RI      00000000B
  228. sfr S2BUF = 0x9B; //S2 Serial Buffer                                                      xxxx,xxxx
  229. sfr BRT = 0x9C; //S2 Baud-Rate Timer                                                    0000,0000
  230. //--------------------------------------------------------------------------------
  231. //新一代 1T 8051系列 单片机看门狗定时器特殊功能寄存器
  232. sfr WDT_CONTR = 0xC1; //Watch-Dog-Timer Control register
  233. //                                      7     6     5      4       3      2   1   0     Reset Value
  234. //                                  WDT_FLAG  -  EN_WDT CLR_WDT IDLE_WDT PS2 PS1 PS0    xx00,0000
  235. //-----------------------

  236. //--------------------------------------------------------------------------------
  237. //新一代 1T 8051系列 单片机PCA/PWM 特殊功能寄存器
  238. //                                         7     6     5     4     3     2     1     0     Reset Value
  239. sfr CCON   = 0xD8;   //PCA 控制寄存器。    CF    CR    -     -     -     -    CCF1  CCF0   00xx,xx00
  240. //-----------------------
  241. sbit CF     = CCON^7; //PCA计数器溢出标志,由硬件或软件置位,必须由软件清0。
  242. sbit CR     = CCON^6; //1:允许 PCA 计数器计数, 必须由软件清0。
  243. //-
  244. //-
  245. sbit CCF1   = CCON^1; //PCA 模块1 中断标志, 由硬件置位, 必须由软件清0。
  246. sbit CCF0   = CCON^0; //PCA 模块0 中断标志, 由硬件置位, 必须由软件清0。
  247. //-----------------------
  248. sfr CMOD  = 0xD9; //PCA 工作模式寄存器。   CIDL   -     -     -   CPS2   CPS1  CPS0  ECF   0xxx,x000
  249. /*
  250. CIDL: idle 状态时 PCA 计数器是否继续计数, 0: 继续计数, 1: 停止计数。

  251. CPS2: PCA 计数器脉冲源选择位 2。
  252. CPS1: PCA 计数器脉冲源选择位 1。
  253. CPS0: PCA 计数器脉冲源选择位 0。
  254.    CPS2   CPS1   CPS0
  255.     0      0      0    系统时钟频率 fosc/12。
  256.     0      0      1    系统时钟频率 fosc/2。
  257.     0      1      0    Timer0 溢出。
  258.     0      1      1    由 ECI/P3.4 脚输入的外部时钟,最大 fosc/2。
  259.     1      0      0    系统时钟频率,  Fosc/1
  260.     1      0      1    系统时钟频率/4,Fosc/4
  261.     1      1      0    系统时钟频率/6,Fosc/6
  262.     1      1      1    系统时钟频率/8,Fosc/8

  263. ECF: PCA计数器溢出中断允许位, 1--允许 CF(CCON.7) 产生中断。
  264. */
  265. //-----------------------
  266. sfr CL     = 0xE9; //PCA 计数器低位                                                        0000,0000
  267. sfr CH     = 0xF9; //PCA 计数器高位                                                        0000,0000
  268. //-----------------------
  269. //                                         7     6      5      4     3     2     1     0     Reset Value
  270. sfr CCAPM0 = 0xDA; //PCA 模块0 PWM 寄存器  -   ECOM0  CAPP0  CAPN0  MAT0  TOG0  PWM0  ECCF0   x000,0000
  271. sfr CCAPM1 = 0xDB; //PCA 模块1 PWM 寄存器  -   ECOM1  CAPP1  CAPN1  MAT1  TOG1  PWM1  ECCF1   x000,0000

  272. //ECOMn = 1:允许比较功能。
  273. //CAPPn = 1:允许上升沿触发捕捉功能。
  274. //CAPNn = 1:允许下降沿触发捕捉功能。
  275. //MATn  = 1:当匹配情况发生时, 允许 CCON 中的 CCFn 置位。
  276. //TOGn  = 1:当匹配情况发生时, CEXn 将翻转。
  277. //PWMn  = 1:将 CEXn 设置为 PWM 输出。
  278. //ECCFn = 1:允许 CCON 中的 CCFn 触发中断。

  279. //ECOMn  CAPPn  CAPNn  MATn  TOGn  PWMn  ECCFn
  280. //  0      0      0     0     0     0     0   0x00   未启用任何功能。
  281. //  x      1      0     0     0     0     x   0x21   16位CEXn上升沿触发捕捉功能。
  282. //  x      0      1     0     0     0     x   0x11   16位CEXn下降沿触发捕捉功能。
  283. //  x      1      1     0     0     0     x   0x31   16位CEXn边沿(上、下沿)触发捕捉功能。
  284. //  1      0      0     1     0     0     x   0x49   16位软件定时器。
  285. //  1      0      0     1     1     0     x   0x4d   16位高速脉冲输出。
  286. //  1      0      0     0     0     1     0   0x42   8位 PWM。

  287. //ECOMn  CAPPn  CAPNn  MATn  TOGn  PWMn  ECCFn
  288. //  0      0      0     0     0     0     0   0x00   无此操作
  289. //  1      0      0     0     0     1     0   0x42   普通8位PWM, 无中断
  290. //  1      1      0     0     0     1     1   0x63   PWM输出由低变高可产生中断
  291. //  1      0      1     0     0     1     1   0x53   PWM输出由高变低可产生中断
  292. //  1      1      1     0     0     1     1   0x73   PWM输出由低变高或由高变低都可产生中断

  293. //-----------------------
  294. sfr CCAP0L = 0xEA; //PCA 模块 0 的捕捉/比较寄存器低 8 位。                                    0000,0000
  295. sfr CCAP0H = 0xFA; //PCA 模块 0 的捕捉/比较寄存器高 8 位。                                    0000,0000
  296. sfr CCAP1L = 0xEB; //PCA 模块 1 的捕捉/比较寄存器低 8 位。                                    0000,0000
  297. sfr CCAP1H = 0xFB; //PCA 模块 1 的捕捉/比较寄存器高 8 位。                                    0000,0000
  298. //-----------------------
  299. //                                                       7   6   5   4   3   2    1     0    Reset Value
  300. sfr PCA_PWM0 = 0xF2; //PCA 模块0 PWM 寄存器。            -   -   -   -   -   -  EPC0H EPC0L   xxxx,xx00
  301. sfr PCA_PWM1 = 0xF3; //PCA 模块1 PWM 寄存器。            -   -   -   -   -   -  EPC1H EPC1L   xxxx,xx00
  302. //PCA_PWMn:    7      6      5      4      3      2      1      0
  303. //             -      -      -      -      -      -    EPCnH  EPCnL
  304. //B7-B2: 保留
  305. //B1(EPCnH): 在 PWM 模式下,与 CCAPnH 组成 9 位数。
  306. //B0(EPCnL): 在 PWM 模式下,与 CCAPnL 组成 9 位数。
  307. //--------------------------------------------------------------------------------
  308. //新一代 1T 8051系列 单片机 ADC 特殊功能寄存器
  309. //                                            7        6      5       4         3      2    1    0   Reset Value
  310. sfr ADC_CONTR = 0xBC; //A/D 转换控制寄存器 ADC_POWER SPEED1 SPEED0 ADC_FLAG ADC_START CHS2 CHS1 CHS0 0000,0000
  311. sfr ADC_RES  = 0xBD;  //A/D 转换结果高8位 ADCV.9 ADCV.8 ADCV.7 ADCV.6 ADCV.5 ADCV.4 ADCV.3 ADCV.2    0000,0000
  312. sfr ADC_RESL = 0xBE;  //A/D 转换结果低2位                                           ADCV.1 ADCV.0    0000,0000
  313. //--------------------------------------------------------------------------------
  314. //新一代 1T 8051系列 单片机 SPI 特殊功能寄存器
  315. //                                      7     6     5     4     3     2     1     0    Reset Value
  316. sfr SPCTL  = 0xCE; //SPI Control Register  SSIG  SPEN  DORD  MSTR  CPOL  CPHA  SPR1  SPR0  0000,0100
  317. sfr SPSTAT = 0xCD; //SPI Status Register   SPIF  WCOL   -     -     -     -     -     -    00xx,xxxx
  318. sfr SPDAT  = 0xCF; //SPI Data Register                                                     0000,0000
  319. //--------------------------------------------------------------------------------
  320. //新一代 1T 8051系列 单片机 IAP/ISP 特殊功能寄存器
  321. sfr IAP_DATA    = 0xC2;
  322. sfr IAP_ADDRH   = 0xC3;
  323. sfr IAP_ADDRL   = 0xC4;
  324. //                                                7    6    5      4    3    2    1     0    Reset Value
  325. sfr IAP_CMD     = 0xC5; //IAP Mode Table          0    -    -      -    -    -   MS1   MS0   0xxx,xx00
  326. sfr IAP_TRIG    = 0xC6;
  327. sfr IAP_CONTR   = 0xC7; //IAP Control Register  IAPEN SWBS SWRST CFAIL  -   WT2  WT1   WT0   0000,x000
  328. //--------------------------------------------------------------------------------

  329. /////////////////////////////////////////////////

  330. #endif
复制代码




回复

使用道具 举报

ID:299872 发表于 2019-3-16 13:14 | 显示全部楼层
yzwzfyz 发表于 2019-3-16 08:11
为何不学会查手册呢?将来还会遇到的问题太多了,你永远在线急吗?学习的方法不佳,解决问题的能力需要加强 ...

不是我不想看呀,我看过的,而且是反复看了,确实是看不懂呀,估计是基本功太滥了.
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表