找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1734|回复: 0
打印 上一主题 下一主题
收起左侧

FPGA 8路彩灯控制电路,请各位大神提供个思路或者代码,求帮助

[复制链接]
跳转到指定楼层
楼主
ID:401914 发表于 2019-5-3 19:26 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
100黑币
1.设计8 路彩灯控制电路,并用Quartus 进行进行综合,然后用Modelsim 仿真,将综合结果
下载到实验箱中,测试电路的正确性。
要求:设计一个8 路彩灯控制电路,实现8 位LED 每隔1 秒全亮、全灭、逐个点亮(每次
只亮一个),同时将延时间隔显示在数码管上。
注意:外部时钟信号由实验箱的信号源提供(50MHz),需要设计相应的分频电路进行分频,
以获得1Hz 的时钟信号


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表