找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 9912|回复: 4
打印 上一主题 下一主题
收起左侧

基于单片机的电子万年历设计Proteus仿真+代码

  [复制链接]
跳转到指定楼层
#
首先还是一样选用C51对整个软件系统进行编程,主要实现时间数据的采集、温度的采集、按键的识别处理、LCD的驱动等。

使用Dallas公司的专用时钟芯片的内部构造使用石英振荡器,

3.1.1 AT89C52是8位中央(CPU)处理器和Flash存储单元的通用微处理器,可反复檫写的程序存储器和12B的随机存取数据存储器(RAM),器件采用Atmel公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,功能强大的AT89C52单片机可灵活应用于各种控制领域。AT89C52单片机属于AT89C51单片机的增强型,与Intel公司的80C52在引脚排列、硬件组成、工作特点和指令系统等方面兼容它主要用于集中调整时的功能控制。功能包括集成主IC内部寄存器、数据RAM以及外部接口等功能部件的初始化、聚合调整控制、聚合尝试控制包括红外线遥控信号IR的接收解码以及与主板核心处理器的通信等。主要管脚中,XTAL 1(19脚)和XTAL 2(18脚)是振荡器的输入输出端口,外接12 MHz的晶振电路,RST/VPD(9脚)成为复位输入端口。由外部电阻电容组成的复位电路.VCC(40脚)和VSS(20脚)是供电端口,分别是正5V电源的正负端.P0̄P3是可编程的通用I/O支脚,其功能用法由软件设计来自定义,在本设计中,P 0端口(32~39脚)被定义为N 1功能控制端口,分别与N1对应的功能控制管脚链接,13脚为IR输入。10脚以及11脚被定义为I2C线路控制端口。分别连接N1的SDAS(18脚)和SCLS(19脚)端口,将12脚、27脚和28脚定义为握手信号端口,连接主板中央处理器对应的功能端,用于现在的控制式检查和集中调整状态的进入控制功能。、

3.1.2 AT89C52和时钟回路(包括石英振荡器,电容C19,C20),上电复位电路(R42,C5,S3,VD1,C3,R9)构成单片机芯片的最小系统。其中,石英振荡器采用12 MHz的高稳定的无源石英振荡器,它与AT89C52中的逆向增幅器构成振荡器,向中央处理器提供高稳定的时钟信号。电容C19,C20能够进行频率微调,电容值在5pF~30pF之间选择,本电路选择20pF。电容C5和电阻R42构成电气复位电路。接通电源时,电源充电到容量C5,在CPU的复位端产生高脉冲。
电子万年历lcd1602带农历显示,
按"设置"键进入设置(当设置键按下在右上角会显示设置状态);
1:设置闹钟时
2:设置闹钟分
3:设置秒
4:设置分
5:设置时
6:设置星期
7:设置日
8:设置月
9:设置年
按"加"进行数字加设置;
按"减"进行数字减设置;
按"确认"进行确认返回;
"NL"表示农历显示;
"NZ"表示闹钟显示;

注:仿真暂时显示不了时间,但实测功能正常。


单片机源程序如下:
  1. #include <reg52.h>
  2. #include <stdio.h>

  3. #include "delay.h"
  4. #include"ds1302.h"
  5. #include"1602.h"
  6. #include"NONGLICONVERSION.H"
  7. #define uchar unsigned char
  8. #define uint unsigned int

  9. sbit key1=P1^0;//日期设置;时间设置;模式选择
  10. sbit key2=P1^1;//加
  11. sbit key3=P1^2;//减
  12. sbit key4=P1^3;//确认;复位
  13. sbit bell=P1^7;//闹钟
  14. uint count;
  15. bit flag,dp;
  16. uchar displaytemp[16];//定义显示区域临时存储数组
  17. code uchar tab[] = {'0','1','2','3','4','5','6','7','8','9'};
  18. uchar miao,fen,shi,zhou,ri,yue,nian,shi_set,fen_set;
  19. uchar moshicount;
  20. //****************函数声明************************************//
  21. void InitTIMER0(void);//定时器初始化
  22. void KeyDo();//按键操作函数
  23. void Read_time();//时间处理函数

  24. void display();        // 显函数
  25. void Conver_week(bit c,uchar year,uchar month,uchar day);
  26. void Conversion(bit c,uchar year,uchar month,uchar day);

  27. //***********************************************************************//

  28. void Read_time()
  29. {
  30.        
  31.         Read_RTC();//读日历       
  32.         miao=l_tmpdate[0];
  33.         fen=l_tmpdate[1];
  34.         shi=l_tmpdate[2];
  35.         ri=l_tmpdate[3];
  36.         yue=l_tmpdate[4];
  37.         zhou=l_tmpdate[5];
  38.         nian=l_tmpdate[6];
  39.        
  40. }


  41. void Set_time()
  42. {
  43.         uchar i;
  44.         //---存储顺序是秒分时日月周年--//
  45.          uchar time[]={0,57,17,30,12,2,14};
  46.          for(i=0;i<8;i++)
  47.          {
  48.                    l_tmpdate[i]=time[i];
  49.                   Set_RTC(i);
  50.          }
  51.          shi_set=8;
  52.          fen_set=0;
  53. }


  54. void NL_read(uchar nian,uchar yue,uchar ri)
  55. {
  56.         uchar tmp;
  57.         tmp=nian/10;
  58.     nian=nian%10;
  59.     nian=nian+tmp*16; //十进制转BCD处理
  60.            tmp=yue/10;
  61.     yue=yue%10;
  62.     yue=yue+tmp*16; //十进制转BCD处理
  63.         tmp=ri/10;
  64.     ri=ri%10;
  65.     ri=ri+tmp*16; //十进制转BCD处理

  66.         Conversion(0,nian,yue,ri);

  67.         tmp=year_moon/16;
  68.         year_moon=year_moon%16;
  69.         year_moon=year_moon+tmp*10;//BCD转十进制
  70.            tmp=month_moon/16;
  71.         month_moon=month_moon%16;
  72.         month_moon=month_moon+tmp*10;//BCD转十进制
  73.         tmp=day_moon/16;
  74.         day_moon=day_moon%16;
  75.         day_moon=day_moon+tmp*10;//BCD转十进制
  76. }

  77. void display()        // 显函数
  78. {
  79.                  //第一行
  80.                 Read_time();
  81.                 sprintf(displaytemp,"  -  -   Week * ");//日期                                                                               
  82.                 displaytemp[0]=tab[nian/10];
  83.                 displaytemp[1]=tab[nian%10];
  84.                 displaytemp[3]=tab[yue/10];
  85.                 displaytemp[4]=tab[yue%10];
  86.                 displaytemp[6]=tab[ri/10];
  87.                 displaytemp[7]=tab[ri%10];
  88.                 displaytemp[13]=tab[zhou];
  89.                 if(moshicount!=0)
  90.                 displaytemp[15]=tab[moshicount];
  91.                 else
  92.                 displaytemp[15]=' ';
  93.                 LCD_disp_code(0,1,16,displaytemp);

  94.                 //第二行
  95.                 Read_time();
  96.                 displaytemp[0]=tab[shi/10];
  97.                 displaytemp[1]=tab[shi%10];
  98.                 displaytemp[2]=':';
  99.                 displaytemp[3]=tab[fen/10];
  100.                 displaytemp[4]=tab[fen%10];
  101.                 displaytemp[5]=':';
  102.                 displaytemp[6]=tab[miao/10];
  103.                 displaytemp[7]=tab[miao%10];
  104.                 displaytemp[8]=' ';
  105.                 if(moshicount!=0)
  106.                 {
  107.                         displaytemp[9]='N';
  108.                         displaytemp[10]='Z';
  109.                         displaytemp[11]=tab[shi_set/10];
  110.                         displaytemp[12]=tab[shi_set%10];
  111.                         displaytemp[13]=':';
  112.                         displaytemp[14]=tab[fen_set/10];
  113.                         displaytemp[15]=tab[fen_set%10];
  114.                 }
  115.                 else
  116.                 {                       
  117.                         NL_read(nian,yue,ri);
  118.                         displaytemp[9]='N';
  119.                         displaytemp[10]='L';
  120.                         displaytemp[11]=tab[month_moon/10];
  121.                         displaytemp[12]=tab[month_moon%10];
  122.                         displaytemp[13]='-';
  123.                         displaytemp[14]=tab[day_moon/10];
  124.                         displaytemp[15]=tab[day_moon%10];
  125.                 }
  126.                 LCD_disp_code(0,2,16,displaytemp);
  127. }

  128. void main()
  129. {

  130. LCD_init();          //lcd初始化
  131. InitTIMER0();      //定时器初始化
  132. Set_time();
  133. Read_time();
  134. bell=1;
  135.         while(1)
  136.         {
  137.                
  138.                 display();                       
  139.                 if((shi_set==shi)&&(fen_set==fen))        //闹铃
  140.                 {
  141.                         bell=~bell;
  142.                 }
  143.                 else
  144.                         bell=1;
  145.                        
  146.             if(flag)
  147.             {
  148.                 KeyDo();
  149.                 flag=0;
  150.                 }
  151.                
  152.        
  153.         }
  154. }


  155. /**********************定时器初始化***************************/
  156. void InitTIMER0(void)
  157. {
  158. TMOD|=0x01;//定时器设置 16位
  159. TH0=0xfc;//初始化值
  160. TL0=0x18;
  161. ET0=1;
  162. TR0=1;
  163. EA=1;
  164. }

  165. void tim(void) interrupt 1 using 1//中断,用于扫描键盘
  166. {  
  167.        
  168.    TH0 = 0xfc;
  169.    TL0 = 0x18;
  170.    flag=1;  
  171. }




  172. void KeyDo()//按键操作函数
  173. {
  174.        
  175.         key1=1;key4=1;

  176.         if(key1==0)
  177.         {
  178.                 //DelayMs(10);
  179.                 while(key1==0);
  180.                 moshicount++;
  181.                 if(moshicount>9)
  182.                         moshicount=0;

  183.         }
  184.         if(key4==0)
  185.         {
  186.                 //DelayMs(10);
  187.                 while(key4==0);
  188.                 moshicount=0;
  189.         }
  190.        

  191.         switch(moshicount)
  192.         {
  193.                
  194.                 case 1:
  195.                 {
  196.                        
  197.                         key2=1;
  198.                         key3=1;
  199.                         if(key2==0)
  200.                         {
  201.                                 //DelayMs(10);
  202.                                 while(key2==0);
  203.                                 shi_set++;
  204.                                 if(shi_set>=24)
  205.                                         shi_set=0;
  206.                         }
  207.                         if(key3==0)
  208.                         {
  209.                                 //DelayMs(10);
  210.                                 while(key3==0);
  211.                                 shi_set--;
  212.                                 if(shi_set<0)
  213.                                         shi_set=23;
  214.                         }
  215.                                                        
  216.                 }
  217.                 break;
  218.                 case 2:
  219.                 {
  220.                        
  221.                         key2=1;
  222.                         key3=1;
  223.                         if(key2==0)
  224.                         {
  225.                                 //DelayMs(10);
  226.                                 while(key2==0);
  227.                                 fen_set++;
  228.                                 if(fen_set>=60)
  229.                                         fen_set=0;
  230.                         }
  231.                         if(key3==0)
  232.                         {
  233.                                 //DelayMs(10);
  234.                                 while(fen_set==0);
  235.                                 fen_set--;
  236.                                 if(fen_set<0)
  237.                                         fen_set=59;
  238.                         }
  239.                                                                
  240.                 }
  241.                 break;
  242.                 case 3:
  243.                 {
  244.                         key2=1;
  245.                         key3=1;
  246.                         if(key2==0)
  247.                         {
  248.                                 //DelayMs(10);
  249.                                 while(key2==0);
  250.                                 miao++;
  251.                                 if(miao>=60)
  252.                                         miao=0;
  253.                         }
  254.                         if(key3==0)
  255.                         {
  256.                                 //DelayMs(10);
  257.                                 while(key3==0);
  258.                                 miao--;
  259.                                 if(miao<=0)
  260.                                         miao=59;
  261.                         }
  262.                         l_tmpdate[0]=miao;
  263.                         Set_RTC(0);               
  264.                 }
  265.                

  266.                 break;

  267.                 case 4:
  268.                 {
  269.                        
  270.                         key2=1;
  271.                         key3=1;
  272.                         if(key2==0)
  273.                         {
  274.                                 //DelayMs(10);
  275.                                 while(key2==0);
  276.                                 fen++;
  277.                                 if(fen>=60)
  278.                                         fen=0;
  279.                         }
  280.                         if(key3==0)
  281.                         {
  282.                                 //DelayMs(10);
  283.                                 while(key3==0);
  284.                                 fen--;
  285.                                 if(fen<=0)
  286.                                         fen=59;
  287.                         }
  288.                         l_tmpdate[1]=fen;
  289.                         Set_RTC(1);
  290.                                                        
  291.                 }
  292.                 break;
  293.                 case 5:
  294.                 {
  295.                        
  296.                         key2=1;
  297.                         key3=1;
  298.                         if(key2==0)
  299.                         {
  300.                                 //DelayMs(10);
  301.                                 while(key2==0);
  302.                                 shi++;
  303.                                 if(shi>=24)
  304.                                         shi=0;
  305.                         }
  306.                         if(key3==0)
  307.                         {
  308.                                 //DelayMs(10);
  309.                                 while(key3==0);
  310.                                 shi--;
  311.                                 if(shi<=0)
  312.                                         shi=23;
  313.                         }
  314.                         l_tmpdate[2]=shi;
  315.                         Set_RTC(2);                 //打开写保护功能                       
  316.                 }
  317.                 break;
  318.                 case 6:
  319.                 {
  320.                        
  321.                         key2=1;
  322.                         key3=1;
  323.                         if(key2==0)
  324.                         {
  325.                                 //DelayMs(10);
  326.                                 while(key2==0);
  327.                                 zhou++;
  328.                                 if(zhou>=8)
  329.                                         zhou=1;
  330.                         }
  331.                         if(key3==0)
  332.                         {       
  333.                                 //DelayMs(10);
  334.                                 while(key3==0);
  335.                                 zhou--;
  336.                                 if(zhou<=0)
  337.                                         zhou=7;
  338.                         }
  339.                         l_tmpdate[5]=zhou;
  340.                         Set_RTC(5);                                        
  341.                 }
  342.                 break;
  343.                 case 7:
  344.                 {
  345.                        
  346.                         key2=1;
  347.                         key3=1;
  348.                         if(key2==0)
  349.                         {
  350.                                 //DelayMs(10);
  351.                                 while(key2==0);
  352.                                 ri++;
  353.                                 if(ri>=32)
  354.                                         ri=1;
  355.                         }
  356.                         if(key3==0)
  357.                         {
  358.                                 //DelayMs(10);
  359.                                 while(key3==0);
  360.                                 ri--;
  361.                                 if(ri<=0)
  362.                                         ri=31;
  363.                         }
  364.                         l_tmpdate[3]=ri;
  365.                         Set_RTC(3);                                       
  366.                 }
  367.                 break;
  368.                 case 8:
  369.                 {
  370.                
  371.                         key2=1;
  372.                         key3=1;
  373.                         if(key2==0)
  374. ……………………

  375. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

所有资料51hei提供下载:
电子万年历1602显示.zip (104.52 KB, 下载次数: 344)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏12 分享淘帖 顶4 踩
回复

使用道具 举报

地板
ID:942652 发表于 2021-6-23 10:12 | 只看该作者
赞   刚好适合初学者学习
回复

使用道具 举报

板凳
ID:512638 发表于 2020-12-1 08:31 | 只看该作者
1500587510 发表于 2020-11-27 14:44
是的,没有经电阻接地肯定不会显示啊

经电阻接地是不是就可以正常显示了?
回复

使用道具 举报

沙发
ID:850222 发表于 2020-11-27 14:44 | 只看该作者
zhangyu111 发表于 2019-12-19 09:53
数码管不显示是因为vee没有接可变电阻接地吗?

是的,没有经电阻接地肯定不会显示啊
回复

使用道具 举报

楼主
ID:666991 发表于 2019-12-19 09:53 | 只看该作者
数码管不显示是因为vee没有接可变电阻接地吗?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表