找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1731|回复: 1
收起左侧

基于51单片机的计算器设计

[复制链接]
ID:560739 发表于 2019-7-23 18:48 | 显示全部楼层 |阅读模式
本帖最后由 treelazy 于 2019-7-24 20:15 编辑

   本设计是以单片机STC89C51为核心的简易计算器设计,要通过芯片STC89C51实现计算器程序运行来完成加、减、乘、除、次方、开方、小数点和保存上步结果的简单计算功能。
数据输入模块由4*4矩阵键盘电路和四个独立按键实现,输出数据模块由LCD1602显示电路实现,再外加一个时钟电路和一个复位电路完成整个简易计算器的设计。
   能实现多步计算在一行显示计算。  eg:5.5+9*6/7。
  1. void main()
  2. {

  3.         uchar i;
  4.         LcdInit();   //LCD初始化
  5.        
  6.         while(1)
  7.         {
  8.                 LcdWriteCmd(0x01);         // 清除屏幕显示         
  9.                 LcdWriteCmd(0x0f);         // 开启光标闪烁         
  10.                 if(ret!=18)
  11.                 {                                                                            
  12.                         num1=0;
  13.                         num1_flag=0;
  14.                        
  15.                 }
  16.                 else
  17.                 {
  18.                         LcdPrintStr("Ans");       
  19.                 }                                                         
  20.                 num2=0;                                                       
  21.                 num3=0;                                                 
  22.                 cal=0;                                        
  23.                 i=0;                                                                         
  24.                 num2_flag=0;                               
  25.                        
  26.                 while(1)
  27.                 {               
  28.                            if(ret1>9)
  29.                         {
  30.                                 ret=ret1;
  31.                                 ret1=0;               
  32.                         }
  33.                         else
  34.                         {
  35.                                 ret=KeyScanf();                // 读取矩阵键盘的扫描结果          
  36.                         }
  37.                           if(ret!=99)
  38.                         {
  39.                                 DelayMs(20);          
  40.                                 if(ret!=99)
  41.                                 {
  42.                                         if(ret<10)
  43.                                         {
  44.                                                 if(cal==0&&Ans_flag==0)                                       
  45.                                                 {
  46.                                                         NUM1(ret);
  47.                                                 }
  48.                                                 else                                                                  
  49.                                                 {
  50.                                                         Ans_flag=0;
  51.                                                         NUM2(ret);
  52.                                                 }       
  53.                                         }
  54.                                         if(ret==17)
  55.                                         {
  56.                                                 xd_flag1=0;
  57.                                                 xd_flag2=0;
  58.                                                 qq=0;
  59.                                                 q=1;
  60.                                                 for(l=0;l<3;l++)
  61.                                                 {
  62.                                                         LcdWriteData(Disp[l]);               
  63.                                                 }
  64.                                                 g_flag=1;       
  65.                                         }
  66.                                         if(g_flag==1&&ret>9&&ret!=17)
  67.                                         {
  68.                                                 Sqrt();
  69.                                                 g_flag=0;       
  70.                                         }
  71.                                         if(xdd!=0&&ret>=10&&g_flag==0)
  72.                                         {                                         
  73.                                                 ret1=ret;
  74.                                                 if(cal==3)                        
  75.                                                 {
  76.                                                         ret=12;
  77.                                                 }
  78.                                                 if(cal==5)
  79.                                                 {
  80.                                                         ret=16;
  81.                                                 }
  82.                                                 if(cal==4)
  83.                                                 {
  84.                                                         ret=15;
  85.                                                 }
  86.                                                 xdd--;
  87.                                         }
  88.                                         if(ret==10)           //加
  89.                                         {
  90.                                                 JIA();
  91.                                         }

  92.                                         if(ret==11)                   //减                                       
  93.                                         {
  94.                                                 JIAN();
  95.                                         }
  96.                                         if(ret==12)           //乘
  97.                                         {       
  98.                                                 xd_flag1=0;
  99.                                                 xd_flag2=0;
  100.                                                 qq=0;
  101.                                                 q=1;
  102.                                                 if(time==1)
  103.                                                 {
  104.                                                         time=0;cal=3;chdd=0;
  105.                                                 }                                                                 
  106.                                                 switch(cal)      
  107.                                                 {
  108.                                                         case 0:CHENG();ji=3;break;                  
  109.                                                         case 1:num4=num2;num2=0;xdd++;LcdWriteData('*');x_flag=1;cal=3;ji=1;break;               
  110.                                                         case 2:num4=num2;num2=0;xdd++;LcdWriteData('*');x_flag=1;cal=3;ji=2;break;               
  111.                                                         case 3:if(x_flag==1){num2=num2*num4;x_flag=0;} else{CHENG();x_flag=0;}break;       
  112.                                                         case 4:num1=num1/num2;num2=0;LcdWriteData('*');cal=3;break;       
  113.                                                         case 5:num1=pow(num1,num2);num2=0;x_flag=1;xdd++;LcdWriteData('*');cal=3;ji=3;                                 
  114.                                                 }
  115.                                                 switch(ji)
  116.                                                 {
  117.                                                         case 1:if(x_flag!=1)cal=1; break;
  118.                                                         case 2:if(x_flag!=1)cal=2; break;
  119.                                                         case 3:if(x_flag!=1)cal=3; break;
  120.                                                 }                                       
  121.                                         }                                                               
  122.                                         if(ret==15)                          //除
  123.                                         {
  124.                                                 xiaoshu=1;
  125.                                                 xd_flag1=0;
  126.                                                 xd_flag2=0;
  127.                                                 qq=0;
  128.                                                 q=1;
  129.                                                 if(time==1)
  130.                                                 {
  131.                                                         time=0;cal=4;chdd=0;
  132.                                                 }
  133.                                                 switch(cal)    //用于确定乘运算前一步与后一步是否有更高优先级运算,
  134.                                                 {                  //后面类似部分功能相同。
  135.                                                         case 0:CHU();ji=4;break;                 
  136.                                                         case 1:num4=num2;num2=0;xdd++;LcdWriteData('/');x_flag=1;cal=4;ji=1;break;               
  137.                                                         case 2:num4=num2;num2=0;xdd++;LcdWriteData('/');x_flag=1;cal=4;ji=2;break;               
  138.                                                         case 4:if(x_flag==1){num2=num4/num2;x_flag=0;} else{CHU();}break;       
  139.                                                         case 3:num1=num1*num2;num2=0;LcdWriteData('/');cal=4;break;                                         
  140.                                                 }
  141.                                                 switch(ji)
  142.                                                 {
  143.                                                         case 1:if(x_flag!=1)cal=1; break;
  144.                                                         case 2:if(x_flag!=1)cal=2; break;
  145.                                                         case 4:if(x_flag!=1)cal=4; break;
  146.                                                 }
  147.                                         }
  148.                                        
  149.                                         if(ret==16)                 //次方
  150.                                         {
  151.                                                 xd_flag1=0;
  152.                                                 xd_flag2=0;
  153.                                                 qq=0;
  154.                                                 q=1;
  155.                                                 switch(cal)
  156.                                                 {
  157.                                                         case 0:xdd++;cal=5;x_flag=2;LcdWriteData('^');ji=5;break;
  158.                                                         case 1:num4=num2;num2=0;xdd++;LcdWriteData('^');x_flag=1;cal=5;ji=1;break;
  159.                                                         case 2:num4=num2;num2=0;xdd++;LcdWriteData('^');x_flag=1;cal=5;ji=2;break;
  160.                                                         case 3:num4=num2;num2=0;xdd++;LcdWriteData('^');x_flag=1;cal=5;ji=3;break;
  161.                                                         case 4:num4=num2;num2=0;xdd++;LcdWriteData('^');x_flag=1;cal=5;ji=4;break;
  162.                                                         case 5:if(x_flag==1){num2=pow(num4,num2);x_flag=0;}else{Power();x_flag=0;}break;
  163.                                                 }
  164.                                                 switch(ji)
  165.                                                 {
  166.                                                         case 1:if(x_flag!=1)cal=1;break;
  167.                                                         case 2:if(x_flag!=1)cal=2;break;
  168.                                                         case 3:if(x_flag!=1)cal=3;break;
  169.                                                         case 4:if(x_flag!=1)cal=4;break;
  170.                                                         case 5:if(x_flag!=2)cal=1;time=1; break;
  171.                                                 }
  172.                                         }
  173.                                         if(ret==18)                  //上次结果
  174.                                         {
  175.                                                 ANS();
  176.                                                 chdd=0;
  177.                                                 cudd=0;
  178.                                                 qq=0;
  179.                                                 q=1;
  180.                                                 xd_flag1=0;
  181.                                                 xd_flag2=0;
  182.                                                 break;
  183.                                         }

  184.                                         if(ret==19)                          //小数点
  185.                                         {
  186.                                                 LcdWriteData('.');
  187.                                                 xd_flag1=1;
  188.                                                 xd_flag2=1;       
  189.                                         }
  190.                                                                        
  191.                                         if(ret==13)          //清零
  192.                                         {       
  193.                                                 chdd=0;
  194.                                                 cudd=0;
  195.                                                 xiaoshu=0;
  196.                                                 qq=0;
  197.                                                 q=1;
  198.                                                 xd_flag1=0;
  199.                                                 xd_flag2=0;
  200.                                                 break;
  201.                                                                                                                   
  202.                                         }               
  203.                                         if(ret==14)                  //等于
  204.                                         {       
  205.                                                 DENGYU();
  206.                                                
  207.                                         }                                       

  208.                                         DelayMs(10);                                                                 
  209.                                         while(KeyScanf()!=99);                 
  210.                                         DelayMs(10);                                                                
  211.                                 }
  212.                         }
  213.                 }
  214.         }
  215. }
复制代码
篇幅过长,只放出主函数程序。

计算器仿真图

计算器仿真图

Desktop.zip

21.01 KB, 下载次数: 42, 下载积分: 黑币 -5

程序及仿真图

回复

使用道具 举报

ID:1 发表于 2019-7-24 04:16 | 显示全部楼层
本帖需要重新编辑补全电路原理图,源码,详细说明与图片即可获得100+黑币(帖子下方有编辑按钮)
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表