找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1464|回复: 8
打印 上一主题 下一主题
收起左侧

C52单片机程序无报错但无法实现全部功能

[复制链接]
跳转到指定楼层
楼主
ID:665205 发表于 2019-12-19 14:25 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
100黑币
用的是STC89C52的板子,一共放进去了三个功能,音乐播放器,LCD滚动屏和串口
新人小白刚开始学,想知道为什么没有报错,但是只能实现LCD和串口,音乐无法播放,求大神看看,谢谢程序如下:
  1. #include<REG52.H>
  2. typedef unsigned int u16;          //对数据类型进行声明定义
  3. typedef unsigned char u8;
  4. typedef unsigned char uint8;
  5. typedef unsigned int uint16;
  6. sbit rs=P2^6;
  7. sbit rw=P2^5;
  8. sbit e=P2^7;
  9. uint8 a[16]="perchin designed";
  10. uint8 b[27]="welcome to the world of mcu";

  11. //#define sound_amount 8 //歌曲的数量
  12. sbit play_up=P3^2;           //上一首歌
  13. sbit play_down=P3^0;   //下一首歌
  14. sbit pause=P3^1;       //播放\暂停
  15. sbit speaker=P1^5;     //无源蜂鸣器
  16. //////////////////////////////////////////////
  17. unsigned char timer0h,timer0l,time;//timer0h,timer0l为定时器T0的高低位初值,time为对应的节拍时间
  18. unsigned char music_num;       //music_num为歌曲编号,music_num=0表示刚开机时的状态,num是查找歌曲数据表的地址
  19. unsigned int num;
  20. signed int fre;                   //对应频率数据表的地址
  21. bit play_enable;                   //歌曲播放的使能标志位,用于播放\暂停
  22. void delay(unsigned char t);           //延时子函数,控制发音的时间长度
  23. void delayms(unsigned int t);           //普通延时子程序,可用于按键消抖
  24. void song(void);                           //演奏一个音符
  25. void music_play(void);                   //播放歌曲
  26. //////////////////////////////////////////////
  27. //每三个数字,代表一个音符
  28. //第一个数字是音符的数值1234567之一(第几个音),代表哆来咪发...
  29. //第二个数字是0123之一,代表低音\中音\高音\超高音(第几个八度)
  30. //第三个数字是时间长度,以半拍为单位,乐曲数据表的结尾是三个0
  31. //////////////////////////////////////////////

  32. //《青鸟》
  33. unsigned char code song1[]={
  34.      3,2,1, 6,2,1, 7,2,1, 1,3,3, 7,2,3, 6,2,3, 3,2,1, 6,2,1,
  35.          7,2,1, 1,3,3, 2,3,2, 1,3,1, 2,3,1, 3,3,3, 3,2,1, 6,2,1,
  36.          7,2,1, 1,3,3, 7,2,3, 6,2,3, 6,2,1, 3,3,1, 2,3,1, 6,2,1,
  37.          5,2,1, 3,3,1, 2,3,2, 5,2,2, 5,2,2, 6,2,1, 6,2,3, 3,2,1,
  38.          6,2,1, 7,2,1, 1,3,1, 3,2,1, 1,3,1, 7,2,1, 6,2,1, 5,2,1,
  39.          6,2,1, 1,2,1, 2,2,1, 3,2,2, 3,2,1, 3,2,1, 3,2,1, 4,2,1,
  40.          5,2,1, 6,2,1, 5,2,1, 5,2,1, 5,2,1, 5,2,1, 6,2,1, 7,2,1,
  41.          1,3,1, 7,2,1, 6,2,1, 5,2,1, 6,2,1, 1,2,1, 2,2,1, 3,2,2,
  42.          3,2,1, 3,2,1, 3,2,1, 4,2,1, 1,3,2, 7,2,1, 6,2,1, 5,2,2,
  43.          5,2,2, 6,2,1, 6,2,3, 3,2,1, 6,2,1, 7,2,1, 1,3,3, 1,3,3,
  44.          1,3,3, 7,2,1, 1,3,1, 7,2,2, 7,2,1, 7,2,1, 1,3,1, 2,3,6,};

  45. //《发如雪》
  46. unsigned char code song2[]={
  47.      5,1,1, 2,2,1, 3,2,2, 2,2,1, 3,2,1, 5,2,1, 6,2,1, 5,2,3, 1,2,1, 2,2,1,
  48.          3,2,1, 6,2,1, 5,2,1, 3,2,1, 5,2,3, 5,2,1, 6,2,1, 1,3,2, 6,2,1, 5,2,1,
  49.          3,2,1, 5,2,1, 3,2,2, 1,2,1, 2,2,1, 3,2,1, 1,2,1, 6,1,1, 3,2,1, 2,2,1,
  50.          5,1,1, 2,2,1, 3,2,2, 2,2,1, 3,2,1, 5,2,1, 6,2,1, 5,2,3, 1,2,1, 2,2,1,
  51.          3,2,1, 6,2,1, 5,2,3, 5,2,1, 6,2,1, 1,3,2, 6,2,1,
  52.          5,2,1, 3,2,1, 5,2,1, 3,2,2, 1,2,1, 6,1,1, 3,2,1, 2,2,1, 1,2,1, 6,1,1,
  53.          1,2,1, 2,2,1, 2,2,1, 1,2,4, 0,0,0 };

  54. //《简单爱》
  55. unsigned char code song3[]={
  56.      5,1,1, 1,2,1, 2,2,1, 3,2,1, 2,2,1, 3,2,1, 4,2,1, 5,2,1, 5,2,1, 5,2,1,
  57.          4,2,1, 3,2,1, 2,2,3, 5,1,1, 1,2,1, 2,2,1, 3,2,1, 4,2,1, 5,2,1, 5,2,1,
  58.          5,2,1, 6,2,1, 5,2,2, 2,2,1, 3,2,1, 1,2,2, 1,2,1, 6,1,1, 2,2,1, 2,2,1,
  59.          3,2,1, 3,2,1, 1,2,1, 5,2,1, 1,2,1, 5,2,1, 1,2,1, 7,1,1, 1,2,1, 1,2,1, 6,1,1,
  60.          2,2,1, 2,2,1, 3,2,1, 3,2,1, 5,2,1, 5,2,1,
  61.          5,2,1, 6,2,1, 5,2,2, 2,2,1, 3,2,1, 1,2,2,  
  62.          5,1,1, 1,2,1, 2,1,2, 3,2,1, 2,2,1, 3,2,1, 4,2,1, 5,2,1, 5,2,1, 5,2,1,
  63.          4,2,1, 3,2,1, 2,2,3, 5,1,1, 1,2,1, 2,2,1, 3,2,1, 2,2,1, 3,2,1, 4,2,1,
  64.          5,2,1, 5,2,1, 5,2,2, 2,2,1, 3,2,1, 1,2,2, 1,2,1, 6,1,1, 2,2,1, 2,2,1,
  65.          3,2,1, 3,2,1, 1,2,2, 5,2,1, 1,2,1, 5,2,1, 5,2,1, 7,1,1, 1,2,1, 0,0,0 };

  66. //《世上只有妈妈好》
  67. unsigned char code song4[]={
  68.      6,2,3, 5,2,1, 3,2,2, 5,2,2, 1,3,2, 6,2,1,
  69.          //6,2,3代表6,中音,3个半拍;
  70.          //5,2,1代表5,中音,1个半拍;
  71.          //3,2,2代表3,中音,2个半拍;
  72.          //5,2,2代表5,中音,2个半拍;
  73.          //1,3,2代表1,高音,2个半拍;
  74.          //...
  75.      5,2,1,6,2,4, 3,2,2, 5,2,1, 6,2,1, 5,2,2, 3,2,2, 1,2,1,6,1,1, 5,2,1,
  76.          3,2,1, 2,2,4, 2,2,3, 3,2,1, 5,2,2, 5,2,1, 6,2,1, 3,2,2, 2,2,2,
  77.          1,2,4, 5,2,3, 3,2,1,2,2,1, 1,2,1, 6,1,1, 1,2,1, 5,1,6, 0,0,0};

  78. //《当你孤单你会想起谁》
  79. unsigned char code song5[]={
  80.      3,2,2, 3,2,1, 4,2,1, 3,2,2, 2,2,1, 1,2,1,  2,2,2, 5,2,2, 2,2,2, 2,2,3,
  81.          1,2,2, 1,2,1, 2,2,1, 1,2,2, 7,1,1, 6,1,1, 7,1,2, 3,2,2, 7,1,2, 7,1,3,
  82.          6,1,2, 2,2,1, 3,2,1, 2,2,1, 1,2,1, 6,1,2, 5,1,2, 2,2,1, 3,2,1, 2,2,1,
  83.          1,2,1, 6,1,2, 6,1,2, 2,2,1, 3,2,1, 2,2,1, 1,2,1, 6,1,1, 7,1,1, 1,2,6, 0,0,0 };

  84. //《乡间小路》
  85. unsigned char code song6[]={
  86.      3,1,2, 3,1,1, 3,1,1, 6,0,1, 6,0,1, 1,1,2,
  87.      6,0,1, 5,0,1, 6,0,4, 6,0,2, 6,0,1 ,6,0,1, 6,0,2, 6,0,1, 1,1,1,
  88.      2,1,2, 2,1,1, 3,1,1, 2,1,4, 3,1,1, 3,1,1, 3,1,1, 2,1,1, 4,1,2,
  89.      4,1,2, 3,1,2, 2,1,1, 1,1,1, 2,1,4, 7,0,1, 7,0,1, 7,0,1, 6,0,1,
  90.      5,0,1, 5,0,1, 6,0,1, 7,0,1, 7,0,1, 6,0,1, 5,0,1, 6,0,4, 6,1,2,
  91.      3,1,1, 6,1,1, 7,1,1, 6,1,1, 5,1,1, 5,1,2, 5,1,1, 2,1,1, 5,1,1,
  92.      6,1,1, 5,1,1, 4,1,1, 4,1,2, 4,1,1, 3,1,1, 2,1,2, 1,1,1, 2,1,1,
  93.      3,1,1, 2,1,1, 1,1,1, 2,1,1, 3,1,4, 6,1,2, 3,1,1, 6,1,1, 7,1,1,
  94.          6,1,1, 5,1,1, 5,1,2, 2,1,1, 5,1,1, 6,1,1, 5,1,1, 4,1,1, 0,0,0};     

  95. //《送别》
  96. unsigned char code song7[]={
  97.      5,1,2, 3,1,3, 5,1,1, 1,2,3, 6,1,2, 1,2,2,
  98.      5,1,4, 5,1,2, 1,1,1, 2,1,1, 3,1,2, 2,1,1, 1,1,1, 2,1,4, 5,1,2,
  99.          3,1,1, 5,1,1, 1,2,2, 7,1,1, 6,1,2, 1,2,2, 5,1,4, 5,1,2, 2,1,1,
  100.          3,1,1, 4,1,2, 7,0,1, 1,1,4, 6,1,2, 1,2,2, 1,2,4, 7,1,2, 6,1,1,
  101.          7,1,1, 1,2,4, 6,1,1, 7,1,1, 1,2,1, 6,1,1, 6,1,1, 5,1,1, 3,1,1,
  102.          1,1,1, 2,1,8, 5,1,2, 3,1,1, 5,1,1, 1,2,2, 7,1,1, 6,1,2, 1,2,2,
  103.          5,1,4, 5,1,2, 2,1,1, 3,1,1, 4,1,2, 7,0,2, 1,1,4, 0,0,0};

  104. //《最浪漫的事》
  105. unsigned char code song8[]={
  106.      5,1,1, 6,1,1, 1,2,1, 6,1,2, 6,1,1, 5,1,1, 6,1,1, 5,1,1, 3,1,1, 5,1,5,
  107.          5,1,1, 6,1,1, 1,2,1, 6,1,2, 6,1,1, 5,1,1, 6,1,1, 5,1,1, 6,1,1, 1,1,5,
  108.          1,1,1, 2,1,1, 3,1,1, 2,1,1, 2,1,1, 2,1,1, 1,1,1, 2,1,1, 1,1,1, 6,1,1,
  109.          3,1,2, 2,1,3, 5,1,1, 6,1,1, 1,2,1, 6,1,2, 6,1,1, 5,1,1, 6,1,1, 5,1,1,
  110.          6,1,1, 1,1,5, 1,1,1, 2,1,1, 3,1,1, 4,1,2, 4,1,1, 5,1,1, 6,1,1, 6,1,1,
  111.          5,1,1, 6,1,2, 1,2,1, 6,1,3, 1,2,1, 6,1,1, 5,1,1, 5,1,4, 1,1,1, 6,1,1,
  112.          5,1,5, 5,1,1, 6,1,1, 1,2,1, 3,1,1, 2,1,1, 3,1,1, 1,1,6, 0,0,0};

  113. // 频率-半周期数据表 高八位  共保存了四个八度的28个频率数据
  114. unsigned char code FREQH[]={
  115.     0xF2, 0xF3, 0xF5, 0xF5, 0xF6, 0xF7, 0xF8,    //低音1234567
  116.     0xF9, 0xF9, 0xFA, 0xFA, 0xFB, 0xFB, 0xFC, 0xFC,//1,2,3,4,5,6,7,i
  117.     0xFC, 0xFD, 0xFD, 0xFD, 0xFD, 0xFE,            //高音 234567
  118.     0xFE, 0xFE, 0xFE, 0xFE, 0xFE, 0xFE, 0xFF};   //超高音 1234567

  119. // 频率-半周期数据表 低八位
  120. unsigned char code FREQL[]={
  121.     0x42, 0xC1, 0x17, 0xB6, 0xD0, 0xD1, 0xB6,    //低音1234567
  122.     0x21, 0xE1, 0x8C, 0xD8, 0x68, 0xE9, 0x5B, 0x8F, //1,2,3,4,5,6,7,i
  123.     0xEE, 0x44, 0x6B, 0xB4, 0xF4, 0x2D,             //高音 234567
  124.     0x47, 0x77, 0xA2, 0xB6, 0xDA, 0xFA, 0x16};   //超高音 1234567  

  125. void KEY()
  126. {
  127.         if(!pause)                //暂停键处理
  128.                 {
  129.                 delayms(5);
  130.                 if(!pause)
  131.                 {
  132.                         TR0=0;
  133.                         speaker=1;
  134.                         if(music_num==0)    //music_num=0只有在刚开机,且未按下暂停键时存在,表示刚开机时的状态,按下后从第一首开始播放
  135.                         {
  136.                                 music_num=1;    //歌曲序号置1
  137.                                 num=0;                //从头播放
  138.                                 play_enable=1;        //允许播放
  139.                         }
  140.                         else
  141.                         {
  142.                                 play_enable=~play_enable;
  143.                             speaker=1;
  144.                         }
  145.                         while(!pause)         //若按着暂停键不放手时的处理
  146.                         {
  147.                         if(play_enable==0){}                //如果是暂停,则显示时间不变//(暂停时play_enable==0)
  148.                         }

  149.                   }//暂停键处理结束
  150.         }//while结束

  151.                 if((!play_up)&&(music_num!=0)) //上一首按键
  152.                 {
  153.                 delayms(5);
  154.                 if((!play_up)&&(music_num!=0))
  155.                 {
  156.                    TR0=0;
  157.                    speaker=1;
  158.                         music_num-=1;//歌曲编号减一
  159.                         if(music_num<=0)
  160.                         music_num=8;
  161.                         num=0;                 //从头开始播放

  162. /*                        if(music_num==(sound_amount+1))
  163.                                 music_num=1;          */
  164.                         delayms(500);//歌曲切换时延时0.5S
  165.                 }        
  166.                 }

  167.                 if((!play_down)&&(music_num!=0))
  168.                 {
  169.                 delayms(5);
  170.                 if((!play_down)&&(music_num!=0))
  171.                 {   
  172.                         TR0=0;
  173.                         speaker=1;
  174.                         music_num+=1;//歌曲编号加一
  175.                         if(music_num>=9)
  176.                         music_num=1;
  177.                         num=0;                  //从头开始播放

  178.         /*                if(music_num==0)
  179.                                 music_num=sound_amount;                  */
  180.                         delayms(500);//歌曲切换时延时0.5S
  181.                 }
  182.                 }
  183. }
  184. void UsartInit()
  185. {
  186.         SCON=0X50;                        //设置为工作方式1
  187.         TMOD=0x21;           
  188.         PCON=0X80;                        //波特率加倍
  189.         TH1=0XF3;                                //计数器初始值设置,注意波特率是4800的
  190.         TL1=0XF3;
  191.         ES=1;                                                //打开接收中断
  192.         TR1=1;                                        //打开计数器
  193. }
  194. void delay3(uint16 i)  //1us
  195. {
  196.         while(i--);
  197. }
  198. void wrc(uint8 c)
  199. {
  200.         delay3(1000);
  201.         rs=0;
  202.         rw=0;
  203.         e=0;
  204.         P0=c;
  205.         e=1;
  206.         delay3(10);
  207.         e=0;
  208.         /*
  209.         P0=c<<4;
  210.         e=1;
  211.         e=0;*/       //四位的LCD要加上
  212. }
  213. void wrd(uint8 dat)
  214. {
  215.         delay3(1000);
  216.         rs=1;
  217.         rw=0;
  218.         e=0;
  219.         P0=dat;
  220.         e=1;
  221.         delay3(10);
  222.         e=0;
  223.         /*
  224.         P0=dat<<4;
  225.         e=1;
  226.         e=0;*/           //四位的LCD要加上
  227.         rs=0;        
  228. }
  229. void init()
  230. {
  231.         delay3(1000);
  232.         /*wrc(0x32);         
  233.         wrc(0x28);
  234.         wrc(0x28);        */        //四位的LCD要加上
  235.         wrc(0x38);
  236.         wrc(0x38);
  237.         wrc(0x38);        
  238.         wrc(0x0c);        
  239.         wrc(0x01);        
  240. }
  241. void display()
  242. {
  243.         uint8 i;
  244.         wrc(0x00+0x80);
  245.         for(i=0;i<16;i++)
  246.         {
  247.                 wrd(a[i]);        
  248.         }
  249.         wrc(0x40+0x80);
  250.         for(i=0;i<27;i++)
  251.         {
  252.                 wrd(b[i]);
  253.         }
  254.         wrc(0x07);         //每写一个数据屏幕就要右移一位,就相对于数据来说就是左移了;
  255.         while(1)
  256.         {        
  257.                 wrc(0x00+0x80);
  258.                 for(i=0;i<16;i++)
  259.                 {
  260.                         wrd(a[i]);
  261.                         delay3(30000);        //如果不加这条延时语句的话滚动会非常快。
  262.                 }
  263.         }
  264. }
  265. void main(void)
  266. {
  267.         TMOD=0x21; //T0 T1 均在工作方式1
  268.         ET0=1;  //T0开中断
  269.         EA=1;   //CPU开中断
  270.                 UsartInit();  //        串口初始化
  271.                 init();         
  272.         while(1)
  273.         {        

  274.             KEY();
  275.                 music_play();        //根据当前状态播放相应歌曲的某个音符
  276.                

  277.                 display();

  278.         }
  279. }
  280. void Usart() interrupt 4
  281. {
  282.         u8 receiveData;

  283.         receiveData=SBUF;//出去接收到的数据
  284.         RI = 0;//清除接收中断标志位
  285.         SBUF=receiveData;//将接收到的数据放入到发送寄存器
  286.         while(!TI);                         //等待发送数据完成
  287.         TI=0;                                                 //清除发送完成标志位
  288. }
  289. void delayms(unsigned int t)           //MS延时子程序
  290. {
  291.         unsigned int i,j;
  292.         for(i=0;i<t;i++)
  293.         {
  294.                 for(j=0;j<300;j++)
  295.                         ;
  296.         }
  297. }

  298. void delay(unsigned char t)            //延时子函数,控制发音的时间长度,每个节拍0.4S
  299. {
  300.         unsigned char t1;
  301.         unsigned long t2;
  302.         for(t1=0;t1<t;t1++)               //嵌套循环, 共延时t个半拍
  303.         {
  304.             for(t2=0;t2<6500;t2++) //延时期间, 可进入T0中断去发音
  305.         {
  306.                 KEY();
  307.         }
  308.         }
  309.         TR0=0;                               //关闭T0, 停止发音
  310. }

  311. void timer0(void) interrupt 1  //T0中断程序,控制发音的音调
  312. {
  313.         speaker=!speaker;//输出方波, 发音
  314.         TH0=timer0h;         //下次的中断时间,这个时间控制音调高低
  315.         TL0=timer0l;
  316. }

  317. void song(void)          //演奏一个音符
  318. {
  319.         TH0=timer0h;    //控制音调
  320.         TL0=timer0l;
  321.         TR0=1;                    //启动T0, 由T0输出方波发音
  322.         delay(time);    //每个音符的演奏时间
  323. }
  324. ////////////////////////////////////////////////////////////////////////////////////
  325. void music_play(void)//播放相应歌曲的某个音符
  326. {
  327.         if((music_num==1)&&(play_enable==1))
  328.         {
  329.                 fre=song1[num]+7*song1[num+1]-1;//第i个是音符,第i+1个是第几个八度
  330.                 timer0h=FREQH[fre];                    //从数据表中读出频率数值,实际上是定时的时间长度
  331.             timer0l=FREQL[fre];
  332.             time=song1[num+2];              //读出时间长度数值
  333.             num+=3;
  334.                 if(fre<0)                //判断歌曲的结束位,结束后转到下一首
  335.                 {
  336.                         num=0;            //下一首从头播放
  337.                         music_num=2;
  338.             }
  339.                 song();                //发出一个音符
  340.         }
  341. ////////////////////////////////////////////////////////////////////////////////////
  342.         if((music_num==2)&&(play_enable==1))
  343.         {  
  344.                 fre=song2[num]+7*song2[num+1]-1;
  345.                 timer0h=FREQH[fre];
  346.             timer0l=FREQL[fre];
  347.             time=song2[num+2];
  348.             num+=3;
  349.                 if(fre<0)
  350.                 {
  351.                         num=0;
  352.                         music_num=3;
  353.             }
  354.                 song();               
  355.         }
  356. ////////////////////////////////////////////////////////////////////////////////////
  357.                 if((music_num==3)&&(play_enable==1))
  358.         {  
  359.                 fre=song3[num]+7*song3[num+1]-1;
  360.                 timer0h=FREQH[fre];
  361.             timer0l=FREQL[fre];
  362.             time=song3[num+2];
  363.             num+=3;
  364.                 if(fre<0)
  365.                 {
  366.                         num=0;
  367.                         music_num=4;
  368.             }
  369.                 song();               
  370.         }
  371. ////////////////////////////////////////////////////////////////////////////////////
  372.         if((music_num==4)&&(play_enable==1))
  373.         {
  374.                 fre=song4[num]+7*song4[num+1]-1;
  375.                 timer0h=FREQH[fre];
  376.             timer0l=FREQL[fre];
  377.             time=song4[num+2];
  378.             num+=3;
  379.                 if(fre<0)
  380.                 {
  381.                         num=0;
  382.                         music_num=5;
  383.             }
  384.                 song();               
  385.         }
  386. ////////////////////////////////////////////////////////////////////////////////////
  387.         if((music_num==5)&&(play_enable==1))
  388.         {
  389.                 fre=song5[num]+7*song5[num+1]-1;
  390.                 timer0h=FREQH[fre];
  391.             timer0l=FREQL[fre];
  392.             time=song5[num+2];
  393.             num+=3;
  394.                 if(fre<0)
  395.                 {
  396.                         num=0;
  397.                         music_num=6;
  398.             }
  399.                 song();               
  400.         }
  401. ////////////////////////////////////////////////////////////////////////////////////
  402.         if((music_num==6)&&(play_enable==1))
  403.         {
  404.                 fre=song6[num]+7*song6[num+1]-1;
  405.                 timer0h=FREQH[fre];
  406.             timer0l=FREQL[fre];
  407.             time=song6[num+2];
  408.             num+=3;
  409.                 if(fre<0)
  410.                 {
  411.                         num=0;
  412.                         music_num=7;
  413.             }
  414.                 song();               
  415.         }
  416. ////////////////////////////////////////////////////////////////////////////////////
  417. if((music_num==7)&&(play_enable==1))
  418.         {
  419.                 fre=song7[num]+7*song7[num+1]-1;
  420.                 timer0h=FREQH[fre];
  421.             timer0l=FREQL[fre];
  422.             time=song7[num+2];
  423.             num+=3;
  424.                 if(fre<0)
  425.                 {
  426.                         num=0;
  427.                         music_num=8;
  428.             }
  429.                 song();               
  430.         }
  431. ////////////////////////////////////////////////////////////////////////////////////
  432. if((music_num==8)&&(play_enable==1))
  433.         {
  434.                 fre=song8[num]+7*song8[num+1]-1;
  435.                 timer0h=FREQH[fre];
  436.             timer0l=FREQL[fre];
  437.             time=song8[num+2];
  438.             num+=3;
  439.                 if(fre<0)
  440.                 {
  441.                         num=0;
  442.                         music_num=1;
  443.             }
  444.                 song();               
  445.         }
  446. ////////////////////////////////////////////////////////////////////////////////////
  447. }
复制代码

//程序结束


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:584814 发表于 2019-12-19 15:32 | 只看该作者
一般来说,这样的程序是网上抄来的,理论上已通过木问题。
可能是你的实验板上的蜂鸣器(喇叭)问题,如果是无源的才可以播放音乐,有源的只听个响。
回复

使用道具 举报

板凳
ID:277550 发表于 2019-12-19 17:00 | 只看该作者
最少,应该说明一下,你的电路是怎样的
回复

使用道具 举报

地板
ID:472325 发表于 2019-12-19 17:23 | 只看该作者
先屏蔽LCD与串口,独调一首歌,歌响了后面才好调,调不响到网上找个能响的试试
回复

使用道具 举报

5#
ID:665205 发表于 2019-12-19 17:50 | 只看该作者
man1234567 发表于 2019-12-19 15:32
一般来说,这样的程序是网上抄来的,理论上已通过木问题。
可能是你的实验板上的蜂鸣器(喇叭)问题,如果 ...

就自己拼拼接接组的,主要现在就是在接上LCD以后蜂鸣器也没有声音,连个响都没
回复

使用道具 举报

6#
ID:445967 发表于 2019-12-19 20:32 | 只看该作者
应该是电路没加三极管吧,没有放大电路51是带不动的
回复

使用道具 举报

7#
ID:584814 发表于 2019-12-19 22:06 | 只看该作者
qinhuahere 发表于 2019-12-19 17:50
就自己拼拼接接组的,主要现在就是在接上LCD以后蜂鸣器也没有声音,连个响都没

先除去显示及其他的东东(包括硬件和软件相关部分),先编个程序听响,然后再音乐,然后再加其他。
如果响都不响,检查软件无误的情况下,表示那块硬件呵呵了。
注意有源和无源的长得很像,但驱动方法和效果是都不一样的。
回复

使用道具 举报

8#
ID:25310 发表于 2019-12-20 15:09 | 只看该作者
没电路图啊,有驱动没有都不知道
回复

使用道具 举报

9#
ID:669333 发表于 2019-12-21 00:20 | 只看该作者
可以先做个仿真,或者检查一下蜂鸣器是不是坏了
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表