找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 11067|回复: 9
打印 上一主题 下一主题
收起左侧

MQ-2烟雾、火灾报警单片机系统程序+Proteus仿真电路图设计

  [复制链接]
跳转到指定楼层
楼主
本系统使用烟雾 火灾等传感器模拟监控家庭安全 程序 原理图 仿真等文件都有

电路原理图如下:


计操作说明

单片机的智能火灾报警系统采用51单片机+MQ-2烟雾传感+ADC0832模数转换芯片+DS18B20温度传感器+数码管显示+按键+蜂鸣器设计而成。可设置烟雾浓度和高温报警值,可紧急报警和手动取消紧急报警功能,当有特殊情况时可按紧急报警键报警,并有掉电保存功能,设置的参数保存在单片机内部EEPOM中。当烟雾传感器检测到火灾释放的烟雾时,信号由ADC0832进行处理模数转化再到单片机进行处理,当检测到浓度超标时,蜂鸣器会发出滴滴的报警声。同时,此系统还可以检测温度,火灾发生往往环境温度会升高。到检测到温度超过设定的报警温度时候,蜂鸣器也将产生报警,系统的按键还具有连加、减功能,操作起来非常方便,系统还能够在进入设置界面后,如果没有按键按下30秒后会自动退出设置界面。

测距范围:
      烟物浓度:0——9等级
     温度范围:0——99度

按键说明:
    从左边第一个起,紧急报警键、减键、加键、设置键。

三个不同的界面:

1.正常显示   2.高温度设置报警界面  3.烟物浓度等级设置界面。


菜单设置说明:
按“设置键”:第一次按进入“高温报警设置”。
             每二次按进入“烟物的浓度等级设置”。
             第三次按回到正常显示界面。

仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)


制作出来的实物图如下:


元件清单:
Comment    Description    Designator
ADC0832    AD采集芯片    1
蜂鸣器    Bell    B1
10uF    电容    C1
20pF    电容    C2, C3
SMG04_1    4位共阳数码管    发光二极管
8550/9012    三极管    Q1, Q2, Q3, Q4
8550/9012    三极管    Q5
1K    电阻    R14, R18
10K    电阻    R15, R16
4.7    大电阻     R17
SW-PB    按键    S1, S2, S3, S4, S5
sw-灰色    电源开关    电源开关
U1    单片机    U1
MQ-2    烟雾传感器    U2
DS18B20    温度传感器    U3
12M    晶振    Y1

单片机源程序如下:
  1. #include <reg52.h>                 //调用单片机头文件
  2. #define uchar unsigned char  //无符号字符型 宏定义        变量范围0~255
  3. #define uint  unsigned int         //无符号整型 宏定义        变量范围0~65535
  4. #include <intrins.h>
  5. #include "eeprom52.h"


  6. //数码管段选定义      0     1    2    3    4    5         6         7          8           9        
  7. uchar code smg_du[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,
  8.                                            0x88,0x83,0xc6,0xa1,0x86,0x8e,0xff};         //断码
  9. //数码管位选定义
  10. uchar code smg_we[]={0x7f,0xbf,0xdf,0xef};

  11. uchar dis_smg[8]  = {0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8};        

  12. sbit CS=P3^2;                //CS定义为P3口的第2位脚,连接ADC0832CS脚  PCB
  13. sbit SCL=P3^3;                //SCL定义为P3口的第3位脚,连接ADC0832SCL脚
  14. sbit DO=P3^4;                //DO定义为P3口的第4位脚,连接ADC0832DO脚


  15. sbit dq   = P3^5;        //18b20 IO口的定义
  16. sbit beep = P3^6;   //蜂鸣器IO口定义
  17. uint temperature,s_temp ;  //温度的变量
  18. uchar dengji,s_dengji;     //烟物等级
  19. uchar shoudong;            //手动报警键


  20. bit flag_300ms = 1;
  21. uchar key_can;                 //按键值的变量
  22. uchar menu_1;        //菜单设计的变量

  23. /***********************1ms延时函数*****************************/
  24. void delay_1ms(uint q)
  25. {
  26.         uint i,j;
  27.         for(i=0;i<q;i++)
  28.                 for(j=0;j<120;j++);
  29. }

  30. /***********************小延时函数*****************************/
  31. void delay_uint(uint q)
  32. {
  33.         while(q--);
  34. }


  35. /******************把数据保存到单片机内部eeprom中******************/
  36. void write_eeprom()
  37. {
  38.         SectorErase(0x2000);
  39.         byte_write(0x2000, s_temp);
  40.         byte_write(0x2001, s_dengji);
  41.         byte_write(0x2060, a_a);        
  42. }

  43. /******************把数据从单片机内部eeprom中读出来*****************/
  44. void read_eeprom()
  45. {
  46.         s_temp   = byte_read(0x2000);
  47.         s_dengji = byte_read(0x2001);
  48.         a_a      = byte_read(0x2060);
  49. }

  50. /**************开机自检eeprom初始化*****************/
  51. void init_eeprom()
  52. {
  53.         read_eeprom();                //先读
  54.         if(a_a != 1)                //新的单片机初始单片机内问eeprom
  55.         {
  56.                 s_temp   = 50;
  57.                 s_dengji = 5;
  58.                 a_a = 1;
  59.                 write_eeprom();           //保存数据
  60.         }        
  61. }

  62. /***********************18b20初始化函数*****************************/
  63. void init_18b20()
  64. {
  65.         bit q;
  66.         dq = 1;                                //把总线拿高
  67.         delay_uint(1);            //15us
  68.         dq = 0;                                //给复位脉冲
  69.         delay_uint(80);                //750us
  70.         dq = 1;                                //把总线拿高 等待
  71.         delay_uint(10);                //110us
  72.         q = dq;                                //读取18b20初始化信号
  73.         delay_uint(20);                //200us
  74.         dq = 1;                                //把总线拿高 释放总线
  75. }

  76. /*************写18b20内的数据***************/
  77. void write_18b20(uchar dat)
  78. {
  79.         uchar i;
  80.         for(i=0;i<8;i++)
  81.         {                                         //写数据是低位开始
  82.                 dq = 0;                         //把总线拿低写时间隙开始
  83.                 dq = dat & 0x01; //向18b20总线写数据了
  84.                 delay_uint(5);         // 60us
  85.                 dq = 1;                         //释放总线
  86.                 dat >>= 1;
  87.         }        
  88. }

  89. /*************读取18b20内的数据***************/
  90. uchar read_18b20()
  91. {
  92.         uchar i,value;
  93.         for(i=0;i<8;i++)
  94.         {
  95.                 dq = 0;                         //把总线拿低读时间隙开始
  96.                 value >>= 1;         //读数据是低位开始
  97.                 dq = 1;                         //释放总线
  98.                 if(dq == 1)                 //开始读写数据
  99.                         value |= 0x80;
  100.                 delay_uint(5);         //60us        读一个时间隙最少要保持60us的时间
  101.         }
  102.         return value;                 //返回数据
  103. }

  104. /*************读取温度的值 读出来的是小数***************/
  105. uint read_temp()
  106. {
  107.         uint value;
  108.         uchar low;                           //在读取温度的时候如果中断的太频繁了,就应该把中断给关了,否则会影响到18b20的时序
  109.         init_18b20();                   //初始化18b20
  110.         write_18b20(0xcc);           //跳过64位ROM
  111.         write_18b20(0x44);           //启动一次温度转换命令
  112.         delay_uint(50);                   //500us

  113.         init_18b20();                   //初始化18b20
  114.         
  115.         write_18b20(0xcc);           //跳过64位ROM
  116.         write_18b20(0xbe);           //发出读取暂存器命令
  117.         
  118.         EA = 0;
  119.         low = read_18b20();           //读温度低字节
  120.         value = read_18b20();  //读温度高字节
  121.         EA = 1;
  122.         value <<= 8;                   //把温度的高位左移8位
  123.         value |= low;                   //把读出的温度低位放到value的低八位中
  124.         value *= 0.0625;               //转换到温度值
  125.         return value;                   //返回读出的温度
  126. }


  127. /***********读数模转换数据********************************************************/        
  128. //请先了解ADC0832模数转换的串行协议,再来读本函数,主要是对应时序图来理解,本函数是模拟0832的串行协议进行的

  129. unsigned char ad0832read(bit SGL,bit ODD)
  130. {
  131.         unsigned char i=0,value=0,value1=0;               
  132.                 SCL=0;
  133.                 DO=1;
  134.                 CS=0;                //开始
  135.                 SCL=1;                //第一个上升沿        
  136.                 SCL=0;
  137.                 DO=SGL;
  138.                 SCL=1;          //第二个上升沿
  139.                 SCL=0;
  140.                 DO=ODD;
  141.                 SCL=1;            //第三个上升沿
  142.                 SCL=0;            //第三个下降沿
  143.                 DO=1;
  144.                 for(i=0;i<8;i++)
  145.                 {
  146.                         SCL=1;
  147.                         SCL=0; //开始从第四个下降沿接收数据
  148.                         value<<=1;
  149.                         if(DO)
  150.                                 value++;                                                
  151.                 }
  152.                 for(i=0;i<8;i++)
  153.                 {                        //接收校验数据
  154.                         value1>>=1;
  155.                         if(DO)
  156.                                 value1+=0x80;
  157.                         SCL=1;
  158.                         SCL=0;
  159.                 }
  160.                 CS=1;
  161.                 SCL=1;        
  162.                 if(value==value1)                                //与校验数据比较,正确就返回数据,否则返回0        
  163.                         return value;
  164.         return 0;
  165. }


  166. /***********************数码显示函数*****************************/
  167. void display()
  168. {
  169.         uchar i;
  170.         P1 = 0xff;                         //消隐                                          
  171.         P2 = smg_we[i];                          //位选
  172.         P1 = dis_smg[i];                 //段选           
  173.         i ++;
  174.         if(i >= 4)                        //4位数码管显示
  175.                 i = 0;         
  176. }


  177. /*************定时器0初始化程序***************/
  178. void time_init()         
  179. {
  180.         EA   = 1;                   //开总中断
  181.         TMOD = 0X01;          //定时器0、定时器1工作方式1
  182.         ET0  = 1;                  //开定时器0中断
  183.         TR0  = 1;                  //允许定时器0定时
  184. }

  185. /********************独立按键程序*****************/
  186. uchar key_can;         //按键值

  187. void key()         //独立按键程序
  188. {
  189.         static uchar key_new;
  190.         key_can = 20;                   //按键值还原
  191.         P2 |= 0x0f;
  192.         if((P2 & 0x0f) != 0x0f)                //按键按下
  193.         {
  194.                 delay_1ms(1);                     //按键消抖动
  195.                 if(((P2 & 0x0f) != 0x0f) && (key_new == 1))
  196.                 {                                                //确认是按键按下
  197.                         key_new = 0;
  198.                         switch(P2 & 0x0f)
  199.                         {
  200.                                 case 0x0e: key_can = 4; break;           //得到k1键值
  201.                                 case 0x0d: key_can = 3; break;           //得到k2键值
  202.                                 case 0x0b: key_can = 2; break;           //得到k3键值
  203.                                 case 0x07: key_can = 1; break;           //得到k4键值
  204.                         }               
  205.                 }                        
  206.         }
  207.         else            //按键松开
  208.                 key_new = 1;        
  209. }

  210. /****************按键处理数码管显示函数***************/
  211. void key_with()
  212. {
  213.         if(key_can == 4)          //紧急报警键  手动报警
  214.         {
  215.                 if(menu_1 == 0)
  216.                         shoudong = 1;
  217.         }
  218.         if(key_can == 1)         //设置键
  219.         {
  220.                 menu_1 ++;
  221.                 if(menu_1 >= 3)
  222.                 {
  223.                         menu_1 = 0;
  224.                 }
  225.         }
  226.         if(menu_1 == 0)
  227.         {
  228.                 if((key_can == 2) || (key_can == 3))
  229.                         shoudong = 0;          //取消手动报警
  230.         }
  231.         if(menu_1 == 1)                        //设置高温报警
  232.         {
  233.                 if(key_can == 2)
  234.                 {
  235.                         s_temp ++ ;                //高温报警值加1
  236.                         if(s_temp > 99)
  237.                                 s_temp = 99;
  238.                 }
  239.                 if(key_can == 3)
  240.                 {
  241.                         s_temp -- ;                //高温报警值减1
  242.                         if(s_temp <= 10)
  243.                                 s_temp = 10 ;
  244.                 }
  245.                 dis_smg[0] = smg_du[s_temp % 10];                   //取个位显示
  246.                 dis_smg[1] = smg_du[s_temp / 10 % 10];  //取十位显示
  247.                 dis_smg[2] = 0xbf;
  248.                 dis_smg[3] = smg_du[10];        //显示A
  249.                 write_eeprom();                           //保存数据
  250.         }        
  251.         if(menu_1 == 2)                        //设置烟物报警
  252.         {
  253.                 if(key_can == 2)
  254.                 {
  255.                         s_dengji ++ ;          //烟物报警值加1
  256.                         if(s_dengji >= 9)
  257.                                 s_dengji = 9;
  258.                 }
  259.                 if(key_can == 3)
  260.                 {
  261.                         s_dengji --;          //烟物报警值减1
  262.                         if(s_dengji <= 1)
  263.                                 s_dengji = 1;
  264.                 }
  265.                 dis_smg[0] = smg_du[s_dengji % 10];                   //取个位显示
  266.                 dis_smg[1] = 0xbf ;  
  267.                 dis_smg[2] = 0xbf;
  268.                 dis_smg[3] = smg_du[11];        //显示B
  269.                 write_eeprom();                           //保存数据
  270.         }        
  271. }  

  272. /****************报警函数***************/
  273. void clock_h_l()
  274. {
  275.         static uchar value;
  276.         if((dengji >= s_dengji) || (temperature >= s_temp) || (shoudong == 1))                //报警
  277.         {
  278.                 value ++;
  279.                 if(value >= 2)
  280.                 {
  281.                         value = 10;
  282.                         beep = ~beep;          //蜂鸣器报警
  283.                 }
  284.         }         
  285.         else
  286.         {
  287.                 if((dengji < s_dengji) && (temperature < s_temp) && (shoudong == 0))          //取消报警
  288.                 {
  289.                         value = 0;
  290.                         beep = 1;                 //取消报警
  291.                 }        
  292.         }
  293. }

  294. /***************主函数*****************/
  295. void main()
  296. {
  297.         beep = 0;                                    //开机蜂鸣器叫一声
  298.         delay_1ms(200);
  299.         P0 = P1 = P2 = P3 = 0xff;   //初始化IO口为高电平
  300.         temperature = read_temp();  //读取温度值
  301.         init_eeprom();  //开始初始化保存的数据
  302.         delay_1ms(650);                                
  303.         temperature = read_temp();  //读取温度值
  304.         time_init();                                //初始化定时器
  305.         while(1)
  306.         {
  307.                 key();                                        //独立按键程序
  308.                 if(key_can < 10)
  309.                 {
  310.                         key_with();                        //按键按下要执行的程序
  311.                 }
  312.                 if(flag_300ms == 1)
  313.                 {               
  314.                         flag_300ms = 0;
  315.                         clock_h_l();
  316.                         temperature = read_temp();  //读取温度值
  317.                         dengji = ad0832read(1,0);        
  318.                         dengji = dengji * 10 / 250;
  319.                         if(menu_1 == 0)
  320.                         {
  321.                                 if(temperature >= 99)
  322.                                         temperature = 99;
  323.                                 dis_smg[3]=smg_du[dengji];            //显示烟物报警等级
  324.                                 dis_smg[2]= 0xbf;                    // -               
  325.                                 dis_smg[1]=smg_du[temperature/10%10];        //十位
  326.                                 dis_smg[0]=smg_du[temperature%10];            //个位        ADC0832为8位ADC,数值为0~255,我们将其分开放入l_tmpdate数组中显示
  327.                         }
  328.                 }
  329.                 delay_1ms(1);
  330.         }
  331. }

  332. /*************定时器0中断服务程序***************/
  333. void time0_int() interrupt 1
  334. {        
  335.         static uchar value;
  336.         TH0 = 0xf8;
  337.         TL0 = 0x30;     // 2ms
  338.         value ++;         
  339.         display();          //数码管显示函数
  340.         if(value % 150 == 0)
  341.         {
  342.                 flag_300ms = 1;           //300ms
  343.                 value = 0;
  344.         }
  345. }
复制代码

全部资料51hei下载地址:
火灾报警 声光报警.7z (1.16 MB, 下载次数: 294)


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏10 分享淘帖 顶1 踩
回复

使用道具 举报

沙发
ID:284488 发表于 2020-2-10 09:22 | 只看该作者
谢谢楼主分享
回复

使用道具 举报

板凳
ID:701939 发表于 2020-3-17 15:32 | 只看该作者
感谢楼主的热心分享
回复

使用道具 举报

地板
ID:710809 发表于 2020-3-19 18:05 | 只看该作者
图片有清楚点的么
回复

使用道具 举报

5#
ID:710809 发表于 2020-3-21 12:52 | 只看该作者
求一个高清图啊
回复

使用道具 举报

6#
ID:727451 发表于 2020-4-12 12:04 | 只看该作者
楼主写的太好啦
回复

使用道具 举报

7#
ID:727451 发表于 2020-4-12 12:14 | 只看该作者
楼主可以发一份有关LCD1602相关的单片机报警系统仿真及其设计嘛
回复

使用道具 举报

8#
ID:718783 发表于 2020-4-12 17:25 | 只看该作者
666楼主整得行
回复

使用道具 举报

9#
ID:727910 发表于 2020-4-13 01:31 来自手机 | 只看该作者
代码是完整的吗
回复

使用道具 举报

10#
ID:861305 发表于 2020-12-17 09:32 | 只看该作者
感谢楼主热心分享
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表