找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1262|回复: 1
打印 上一主题 下一主题
收起左侧

vhdl数字频率计程序_DDS

[复制链接]
跳转到指定楼层
楼主
数字频率计_DDS


单片机源程序如下:
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3. USE ieee.std_logic_unsigned.all;
  4. USE ieee.std_logic_arith.all;

  5. ENTITY dds_dds IS
  6.         port(ftw: in std_logic_vector(23 downto 0);                                        --频率控制字
  7.                  clk: in std_logic;                                                                                --系统时钟
  8.                  rec: in std_logic;                                                                                --接收信号使能
  9.                  out_q:        out std_logic_vector(9 downto 0);                                --幅度值输出
  10.                  ack: out std_logic);                                                                        --接收应答信号
  11. END dds_dds;

  12. ARCHITECTURE beh of dds_dds is
  13. signal phase_adder,frq_reg:std_logic_vector(23 downto 0);
  14. signal rom_address,address:std_logic_vector(9 downto 0);
  15. signal rom_out:std_logic_vector(9 downto 0);
  16. signal s_1,s_2,a_1,a_2:std_logic;
  17. signal a:std_logic;
  18. component dds_dds_rom                                                                                        --定义ROM元件
  19.         PORT(address        : IN STD_LOGIC_VECTOR (9 DOWNTO 0);
  20.                  q                : OUT STD_LOGIC_VECTOR (9 DOWNTO 0));
  21. end component;
  22. begin
  23.         data: dds_dds_rom port map(address,rom_out);
  24.         datain: process(clk)                                                                                --数据输入部分
  25.         begin
  26.         if(clk'event and clk='1') then                                                                --clk上升沿触发
  27.                 if(rec='1') then                                                                                --rec为1则读取ftw数据并将应答信号ack置1
  28.                         frq_reg<=ftw;                                                                          
  29.                         ack<='1';                                                                                  
  30.                         a<='1';                                                                                                --a与ack内容相同在判断时使用
  31.                 end if;                                                                                                  
  32.                 if(a='1') then                                                                                        --检测到上一个周期ack为1,则将其复位
  33.                         ack<='0';                                                                                  
  34.                         a<='0';                                                                                          
  35.                 end if;
  36.         end if;
  37.         end process;

  38.         phase_add: process(clk)                                                                                --相位累加部分
  39.         begin
  40.                 if(clk'event and clk='1') then                                                        --clk上升沿触发
  41.                         phase_adder<=phase_adder+frq_reg;                                        --进行相位累加
  42.                         rom_address(0)<=phase_adder(12);                               
  43.                         rom_address(1)<=phase_adder(13);
  44.                         rom_address(2)<=phase_adder(14);
  45.                         rom_address(3)<=phase_adder(15);
  46.                         rom_address(4)<=phase_adder(16);
  47.                         rom_address(5)<=phase_adder(17);
  48.                         rom_address(6)<=phase_adder(18);
  49.                         rom_address(7)<=phase_adder(19);
  50.                         rom_address(8)<=phase_adder(20);
  51.                         rom_address(9)<=phase_adder(21);
  52.                         s_2<=phase_adder(22);
  53.                         s_1<=phase_adder(23);                                                                --将上一个累加值的高12位送出
  54.                 end if;
  55.         end process;
  56.        
  57.         lookfor_rom: process(clk)                                                                        --ROM查找部分
  58.         begin
  59.                 if(clk'event and clk='1') then                                                        --clk上升沿触发
  60.                         a_1<=s_1;                                                                                        --a_1和a_2比s_1和s_2落后一个周期
  61.                         a_2<=s_2;
  62.                         if(s_1='0' and s_2='0') then                                                  --将各区间的地址对应到0~π/2的地址
  63.                                 address<=rom_address;
  64.                         elsif(s_1='0' and s_2='1') then       
  65.                                 address<=NOT rom_address;       
  66.                         elsif(s_1='1' and s_2='0') then
  67.                                 address<=rom_address;
  68.                         elsif(s_1='1' and s_2='1') then
  69.                                 address<=NOT rom_address;
  70.                         end if;                                                                                                -- NOT rom_address=3FF-rom_address

  71.                         if(a_1='0' and a_2='0') then                                                  --将各区间的幅度对应到0~π/2的幅度
  72.                                 out_q<=rom_out;                                                                        --由于幅度比地址输出慢一个周期所以用
  73.                                                                                                                                 -- a_1和a_2进行判断,a_1和a_2比s_1
  74.                                                                                                                                 --和s_2落后一个时钟周期
  75.                         elsif(a_1='0' and a_2='1') then       
  76.                                 out_q<=rom_out;
  77.                         elsif(a_1='1' and a_2='0') then
  78.                                 out_q<=NOT rom_out+"0000000001";
  79.                         elsif(a_1='1' and a_2='1') then
  80.                                 out_q<=NOT rom_out+"0000000001";
  81.                         end if;                                                                                                --负数通过正数取反再加1得到
  82.                 end if;
  83.         end process;

  84. end beh;
复制代码

所有资料51hei提供下载:
数字频率计_DDS.rar (3.31 KB, 下载次数: 13)


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:984711 发表于 2021-11-29 14:22 | 只看该作者
频率测量的范围大概在哪一个阶段?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表