找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 707|回复: 2
打印 上一主题 下一主题
收起左侧

求助PFPGA仿真,波形问题。

[复制链接]
跳转到指定楼层
楼主
1.f_div_1.v
module f_div_1(clk,div12);
input clk;
output div12;
reg div12;
reg [2:0]cnt;
always @(posedge clk)
begin
  if(cnt==3'b101)
   begin div12<=~div12;cnt<=0;end
  else
   begin cnt<=cnt+1;end
end
endmodule
2.f_div_1tb.v
`timescale 1ns/100ps
module f_div_1tb();
reg clk;
wire div12;
initial
begin
  clk=0;
  #500 $stop;
end
always #10 clk=~clk;
f_div_1 U1(clk,div12);
endmodule
3.波形图
波形图,有clk波形,无div12波形。

图片1.png (15.73 KB, 下载次数: 25)

波形波无输出

波形波无输出
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:704216 发表于 2020-5-27 20:13 | 只看该作者
已解决!改了下程序。
回复

使用道具 举报

板凳
ID:704216 发表于 2020-5-27 20:13 | 只看该作者
module f_div_1(clk,rst,div12);
input clk,rst;
output div12;
reg div12;
reg [2:0]cnt;
always @(posedge clk)
begin
  if(!rst)
   begin cnt<=0;div12<=0;end
  else if(cnt==3'b101)
   begin div12<=~div12;cnt<=0;end
  else
   begin cnt<=cnt+1;end
end
endmodule
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表