找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1232|回复: 0
打印 上一主题 下一主题
收起左侧

quartues编写的计数器 modelsim仿真没有输出

[复制链接]
跳转到指定楼层
楼主
ID:353926 发表于 2020-5-22 15:29 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
quartues编写的一个计数器,,modelsim仿真时的输出波形是直线。。付给初值后一直输出初值,clk显示正常
激励文件
library ieee;
use ieee.std_logic_1164.all;
entity clock_tb is
end entity clock_tb;
architecture behaviour of clock_tb is
        component clock
                port(
                                clk: in std_logic;
                                clr: in std_logic;
                                seg: out std_logic_vector(7 downto 0);
                                dig: buffer std_logic_vector(2 downto 0)
                );
        end component;
        signal clk:std_logic;
        signal clr:std_logic;
        signal seg:std_logic_vector(7 downto 0):="01000000";
        signal dig:std_logic_vector(2 downto 0):="000";

begin
        u1: clock
                port map(
                        clk=>clk,
                        clr=>clr,
                        seg=>seg,
                        dig=>dig);
        process begin
                wait for 10 ns;  clk<='1';
                wait for 10 ns;  clk<='0';
        end process;
        process begin
                wait for 0 ns;
                clr <= '0';        --复位开始               
                wait for 200.1 ns;
                clr <= '1';        --复位完成
                wait;                        --持续等待
        end process;        
end architecture behaviour;

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表