找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1945|回复: 0
收起左侧

单片机16*16点阵滚动显示仿真和原程序还有电路图,自己买零件就可以焊接。很简单

[复制链接]
ID:575258 发表于 2020-5-30 23:39 | 显示全部楼层 |阅读模式
16*16点阵仿真和原程序还有电路图,自己购买零件就可以焊接。很简单!!!!

仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)
51hei.png 原理图.png

单片机源程序如下:
  1. #include<reg51.h>//头文件

  2. sbit shcp=P1^2;//数据输入时钟线 595的11脚
  3. sbit stcp=P1^1;//输出存储器锁存时钟线 595的12脚
  4. sbit ds=P1^0;//数据线  595的14脚

  5. sbit s1=P3^1;//按下暂停再暂按继续
  6. sbit s2=P3^2;//按下方向取反

  7. sbit s3=P3^3;//按下加速
  8. sbit s4=P3^4;//按下减速
  9. unsigned char fx;//方向切换
  10. unsigned char alt;//数据移动定时时间
  11. unsigned int net;//控制显示的字符
  12. unsigned char speed=5;//数据移动时间变量
  13. unsigned char zi=8;
  14. unsigned int zong;//总字符  (所有的字数+1)*32   字数指的是汉字,字母、数字两个算一个数字
  15. unsigned char code tab[]={
  16. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  17. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*先送两个空字节用于缓冲*/
  18. //    字模区




  19. 0x04,0x08,0x04,0x10,0x24,0x20,0x24,0x40,0x24,0xFC,0x24,0xA2,0xFD,0x22,0x26,0x22,
  20. 0x24,0x42,0x2C,0x42,0x14,0x42,0x24,0x82,0x44,0x1E,0x04,0x00,0x04,0x00,0x00,0x00,/*"?",0*/

  21. 0x00,0x00,0x3F,0xE1,0x00,0x02,0x00,0x0C,0xFF,0xF0,0x00,0x00,0x40,0x00,0x47,0xF8,
  22. 0x44,0x00,0x44,0x00,0x7F,0xFF,0x44,0x10,0x44,0x08,0x47,0xF0,0x40,0x00,0x00,0x00,/*"?",1*/

  23. 0x00,0x00,0x00,0x00,0x00,0x1A,0x00,0x1C,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  24. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*",",2*/

  25. 0x04,0x02,0x08,0x0C,0x10,0x00,0x3F,0xEE,0xC4,0x01,0x08,0x81,0x11,0x11,0xE6,0x4D,
  26. 0x20,0x21,0x2F,0xC1,0x20,0x01,0x24,0x07,0x2A,0x00,0x31,0x88,0x00,0x06,0x00,0x00,/*"?",3*/

  27. 0x02,0x00,0x02,0x20,0x22,0x20,0x22,0x20,0x2A,0x20,0x26,0x20,0xA2,0x20,0x63,0xFF,
  28. 0x22,0x20,0x26,0x20,0x2A,0x20,0x22,0x20,0x22,0x20,0x02,0x20,0x02,0x00,0x00,0x00,/*"?",4*/

  29. 0x21,0x00,0x21,0x00,0x21,0x00,0x21,0x3F,0xF9,0x22,0x21,0x22,0x21,0x22,0x2F,0xE2,
  30. 0x21,0x22,0x21,0x22,0xF9,0x22,0x21,0x3F,0x21,0x00,0x21,0x00,0x21,0x00,0x00,0x00,/*"?",5*/

  31. 0x00,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x02,0x40,0x01,0x47,0xFE,
  32. 0x44,0x00,0x48,0x00,0x50,0x00,0x60,0x00,0x40,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*"?",6*/

  33. 0x00,0x00,0x00,0x00,0x00,0x00,0x7F,0xCC,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  34. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*"!",7*/




  35. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  36. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  37. };
  38. unsigned char code tab1[]={
  39. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  40. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  41. //

  42.        
  43. 0x00,0x00,0x00,0x00,0x00,0x00,0x7F,0xCC,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  44. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*"!",0*/

  45. 0x00,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x02,0x40,0x01,0x47,0xFE,
  46. 0x44,0x00,0x48,0x00,0x50,0x00,0x60,0x00,0x40,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*"?",1*/

  47. 0x21,0x00,0x21,0x00,0x21,0x00,0x21,0x3F,0xF9,0x22,0x21,0x22,0x21,0x22,0x2F,0xE2,
  48. 0x21,0x22,0x21,0x22,0xF9,0x22,0x21,0x3F,0x21,0x00,0x21,0x00,0x21,0x00,0x00,0x00,/*"?",2*/

  49. 0x02,0x00,0x02,0x20,0x22,0x20,0x22,0x20,0x2A,0x20,0x26,0x20,0xA2,0x20,0x63,0xFF,
  50. 0x22,0x20,0x26,0x20,0x2A,0x20,0x22,0x20,0x22,0x20,0x02,0x20,0x02,0x00,0x00,0x00,/*"?",3*/

  51. 0x04,0x02,0x08,0x0C,0x10,0x00,0x3F,0xEE,0xC4,0x01,0x08,0x81,0x11,0x11,0xE6,0x4D,
  52. 0x20,0x21,0x2F,0xC1,0x20,0x01,0x24,0x07,0x2A,0x00,0x31,0x88,0x00,0x06,0x00,0x00,/*"?",4*/

  53. 0x00,0x00,0x00,0x00,0x00,0x1A,0x00,0x1C,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  54. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*",",5*/

  55. 0x00,0x00,0x3F,0xE1,0x00,0x02,0x00,0x0C,0xFF,0xF0,0x00,0x00,0x40,0x00,0x47,0xF8,
  56. 0x44,0x00,0x44,0x00,0x7F,0xFF,0x44,0x10,0x44,0x08,0x47,0xF0,0x40,0x00,0x00,0x00,/*"?",6*/

  57. 0x04,0x08,0x04,0x10,0x24,0x20,0x24,0x40,0x24,0xFC,0x24,0xA2,0xFD,0x22,0x26,0x22,
  58. 0x24,0x42,0x2C,0x42,0x14,0x42,0x24,0x82,0x44,0x1E,0x04,0x00,0x04,0x00,0x00,0x00,/*"?",7*/





  59. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  60. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  61. };
  62. void delay(unsigned int z)//延时子函数
  63. {
  64.    unsigned char x;
  65.    for(;z>0;z--)
  66.    for(x=110;x>0;x--);
  67. }
  68. void writedata(unsigned char dat1,unsigned char dat2)         //595显示子函数
  69. {
  70.         unsigned char i;
  71.         //CY存储移位之后的数据  CY进位标志位,单片机内部的寄存器   移位之后的数据就存在CY里面
  72.         for(i=0;i<8;i++)//循环八次
  73.         {
  74.                 dat1=dat1<<1;//数据左移11110001
  75.                 ds=CY;//数据发送
  76.                 shcp=1;//上升沿发生移位 上升沿时移位寄存器9位同时传递一位
  77.                 shcp=0;
  78.         }
  79.         for(i=0;i<8;i++)//循环八次
  80.         {
  81.                 dat2=dat2<<1;//数据左移
  82.                 ds=CY;//数据发送
  83.                 shcp=1;//上升沿发生移位 上升沿时移位寄存器9位同时传递一位
  84.                 shcp=0;
  85.         }
  86.         stcp=0;      
  87.         stcp=1;//上升沿将数据送到输出锁存器   
  88.         stcp=0;
  89. }

  90. void main()         //主函数
  91. {
  92.         char i,aa;
  93.         TMOD=0x01;//定时器0  模式1  16位定时模式
  94.         TH0=(65536-10000)/256;//定时10.000ms
  95.         TL0=(65536-10000)%256;
  96.         ET0=1;//使能定时器0
  97.         EA=1;//开启总中断
  98.         TR0=1;//开始计数
  99.         zong=(zi+1)*32;
  100.         while(1)         //无限循环
  101.         {
  102.                 if(s1==0)//检测按键
  103.                 {
  104.                         delay(30);//延时消除按键抖动
  105.                         if(s1==0)
  106.                         {
  107.                                 TR0=~TR0;//按下暂停  暂按继续
  108.                                 while(s1==0);//等待按键松手
  109.                         }
  110.                 }
  111.                 if(s2==0)//检测按键
  112.                 {
  113.                         delay(30);//延时消除按键抖动
  114.                         if(s2==0)
  115.                         {
  116.                                 fx++;//方向取反
  117.                                 if(fx>=2)
  118.                                         fx=0;
  119.                                 aa=0;
  120.                                 if(fx==0)net=0;//正向移动
  121.                                 if(fx==1)net=zong;//反向移动
  122.                                 while(s2==0);//等待按键松手
  123.                         }
  124.                 }

  125.                                                                                                                         if(s3==0)//检测按键
  126.                                 {
  127.                                         delay(3);//延时消除按键抖动
  128.                                         if(s3==0)
  129.                                         {
  130.                                        
  131.                                                         speed--;//加速运行
  132.                                                                 if(speed<=1)
  133.                                                                         speed=1;
  134.                                         }
  135.                                         while(!s3);
  136.                                 }
  137.                                                                                                                         if(s4==0)//检测按键
  138.                                 {
  139.                                         delay(3);//延时消除按键抖动
  140.                                         if(s4==0)
  141.                                         {
  142.                                        
  143.                                                         speed++;//减速运行
  144.                                                                 if(speed>=12)
  145.                                                                         speed=12;
  146.                                         }
  147.                                         while(!s4);
  148.                                 }
  149.                 for(i=0;i<16;i++)//循环移位
  150.                 {          
  151.                         //两片138组成的4-16线译码器
  152.                         P2=i;//列数据驱动,138的驱动端口
  153.                         if(fx==0)writedata(tab[net+aa],tab[net+aa+1]);//写入需要显示的数据
  154.                         if(fx==1)writedata(tab1[net+aa],tab1[net+aa+1]);//写入需要显示的数据
  155.                         if(fx==2)writedata(tab[net+aa],tab[net+aa+1]);//写入需要显示的数据
  156.                         delay(3);//延时
  157.                         writedata(0,0);//清屏
  158.                         aa+=2;//数据加 实现扫描
  159.                         if(aa>30)aa=0;//循环16次 清零
  160.                 }
  161.         }
  162. }

  163. void timer0() interrupt 1
  164. {
  165.         TH0=(65536-10000)/256;//10.000ms   进入一次中断
  166.         TL0=(65536-10000)%256;
  167.         alt++;
  168.         if(fx==0||fx==1)
  169.                 {
  170.                         if(alt>=speed)//到100.000ms时间加以实现移动 用于控制移动速度
  171.                         {
  172.                                 alt=0;
  173.                                 if(fx==0)//正向移动
  174.                                 {
  175.                                         net=net+2;        //每次送两个编码数据
  176.                                         if(net>zong)//达到总字符
  177.                                         net=0;//数据清零
  178.                                 }
  179.                                 if(fx==1)//否则反向移动
  180.                                 {
  181.                                         net=net-2;//每次送两个编码数据
  182.                                         if(net<2)//数据完毕
  183.                                         net=zong;//回到总字符
  184.                                 }

  185.                         }
  186.                 }
  187.        

  188.                
  189. }
复制代码

所有资料51hei提供下载:
1616点阵.zip (398.43 KB, 下载次数: 53)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表