找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 5525|回复: 5
打印 上一主题 下一主题
收起左侧

51单片机+DAC0832+LM358放大的单电源函数信号发生器Proteus仿真程序

  [复制链接]
跳转到指定楼层
楼主
这是之前大二51单片机中的实践项目,分享一下资料
1.本设计基于STC89C51/52(与AT89S51/52、AT89C51/52通用,可任选)单片机
2.LCD1602液晶显示波形种类和频率值(10-100HZ)。
3.按键设置波形种类和设定频率步进值
4.电位器器改变振幅(2.5V-3.5V稳定)。
5.本设计可产生正弦波、锯齿波、三角波、矩形波。
6.有四个指示灯分别指示发出的是哪种波形,方便明了。
按键说明:
1  2  3  4
1键:设置步进值按键
2键:加按键
3键:减按键
4键:波形切换按键
开机液晶显示正弦波,频率是10Hz,如果要改变波形,按下4键可以选择波形,波形符号会显示在液晶上,同时4个LED也会指示不同的波形;如果要改变波形的频率,可以按下2键和3键,来加减波形的频率,不过开机默认按一下加减按键,只加减0.1Hz,这在实际用时,会很不方便,此时我们可以按下1键,进入步进值设置界面,步进值可以在0.1-10Hz之间调节,按加减按键调节步进值,步进值设置好后(例如设置1Hz),再按一下1键,退出步进值调节界面,回到正常显示,此时按下加减按键更改频率,会发现,按一下加按键,频率会加1Hz,这就会很方便我们调试了。
图片放上来就显示太大了,只好放在附件

仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)



函数发生器元件清单
1)    9*15万用板
2)    STC89C52单片机
3)    DAC0832芯片
4)    LM358芯片
5)    8脚IC座
6)    20脚IC座
7)    40脚IC座
8)    1602液晶
9)    16p母座
10)    16p排针
11)    4p排针
12)    102电位器蓝白
13)    10k电阻*2
14)    2.2k电阻*3
15)    100欧姆电阻*2
16)    220欧姆电阻
17)    1k电阻*2
18)    103排阻(10k)
19)    按键*5
20)    5mmLED(红、黄、绿、雾白)*4
21)    10uf电解电容
22)    30pf瓷片电容*2
23)    12M晶振
24)    104独石电容*2
25)    自锁开关
26)    DC电源插口
27)    导线若干
28)    焊锡若干
29)    USB电源线或电池盒

单片机源程序如下:
  1. #include<reg52.h>                                           //包含头文件
  2. #include<intrins.h>
  3. #define uchar unsigned char                           //宏定义
  4. #define uint unsigned int

  5. sbit s1=P3^5;                                                    //定义按键的接口
  6. sbit s2=P3^6;
  7. sbit s3=P3^7;
  8. sbit s4=P3^4;

  9. sbit led0=P3^0;                                                        //定义四个LED,分别表示不同的波形
  10. sbit led1=P3^1;
  11. sbit led2=P3^2;
  12. sbit led3=P3^3;
  13.                                                                            
  14. sbit lcdrs=P2^7;                                           //液晶控制引脚,还有一个控制脚是RW,因为我们只需要向液晶里写数据系那是就好了,所以,我们直接将RW引脚接地
  15. sbit lcden=P2^6;

  16. char num,boxing,u;                                           //定义全局变量
  17. int pinlv=100,bujin=1,bujin1=1;                   //频率初始值是10Hz,步进值默认是0.1,显示步进值变量
  18. uchar code table[]="0123456789";                //定义显示的数组
  19. uchar code table1[]="Fout=     Wave form:";        //初始化显示字符
  20. unsigned long int m;                                   //定义长整形变量 m
  21. int a,b,h,num1;                                                        //定义全局变量

  22. //自定义字符
  23. uchar code zifu[]={                   //此数组内数据为液晶上显示波形符号的自定义字符
  24.                                                 0x0e,0x11,0x11,0x00,0x00,0x00,0x00,0x00,
  25.                                                 0x00,0x00,0x00,0x00,0x11,0x11,0x0e,0x00,          //正弦波           0  1

  26.                                                 0x00,0x07,0x04,0x04,0x04,0x04,0x1c,0x00,
  27.                                                 0x00,0x1c,0x04,0x04,0x04,0x04,0x07,0x00,          //矩形波           2  3

  28.                                                 0x00,0x01,0x02,0x04,0x08,0x10,0x00,0x00,
  29.                                                 0x00,0x10,0x08,0x04,0x02,0x01,0x00,0x00,          //三角波           4  5

  30.                                                 0x00,0x01,0x03,0x05,0x09,0x11,0x00,0x00,            //锯齿波           6
  31. };
  32. uchar code sin[64]={           //此数组内的数据为,da输出对应电压值对应的数字量,0是0V,255是5V
  33. 135,145,158,167,176,188,199,209,218,226,234,240,245,249,252,254,254,253,251,247,243,237,230,222,213,204,193,182,170,158,
  34. 146,133,121,108,96,84,72,61,50,41,32,24,17,11,7,3,1,0,0,2,5,9,14,20,28,36,45,55,66,78,90,102,114,128
  35. };                                          //正弦波取码
  36. uchar code juxing[64]={                //一个周期是采样64个点, 所以数组内是64个数据
  37. 255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,
  38. 255,255,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0
  39. };                                          //矩形波取码

  40. uchar code sanjiao[64]={
  41. 0,8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128,136,144,152,160,168,176,184,192,200,208,216,224,232,240,248,
  42. 248,240,232,224,216,208,200,192,184,176,168,160,152,144,136,128,120,112,104,96,88,80,72,64,56,48,40,32,24,16,8,0
  43. };                                                //三角波取码
  44. uchar code juchi[64]={
  45. 0,4,8,12,16,20,24,28,32,36,40,45,49,53,57,61,65,69,73,77,81,85,89,93,97,101,105,109,113,117,121,125,130,134,138,142,
  46. 146,150,154,158,162,166,170,174,178,182,186,190,194,198,202,206,210,215,219,223,227,231,235,239,243,247,251,255
  47. };                                          //锯齿波取码

  48. void delay(uint xms)         //延时函数
  49. {
  50.         int a,b;
  51.         for(a=xms;a>0;a--)
  52.                 for(b=110;b>0;b--);
  53. }
  54. void write_com(uchar com)                //写命令函数
  55. {
  56.         lcdrs=0;
  57.         P0=com;        
  58.         delay(1);
  59.         lcden=1;
  60.         delay(1);                                                                           
  61.         lcden=0;
  62. }

  63. void write_date(uchar date)                //写数据函数
  64. {
  65.         lcdrs=1;
  66.         P0=date;
  67.         delay(1);
  68.         lcden=1;
  69.         delay(1);
  70.         lcden=0;
  71. }

  72. //自定义字符集
  73. void Lcd_ram()      
  74. {
  75.         uint i,j,k=0,temp=0x40;
  76.         for(i=0;i<7;i++)
  77.         {
  78.            for(j=0;j<8;j++)
  79.            {
  80.             write_com(temp+j);
  81.             write_date(zifu[k]);
  82.             k++;
  83.            }
  84.            temp=temp+8;
  85.         }
  86. }

  87. void init_lcd()                                        //初始化函数
  88. {
  89.         uchar i;                                                                  
  90.         lcden=0;                                        //默认开始状态为关使能端,见时序图  
  91.         Lcd_ram();
  92.         write_com(0x0f);
  93.         write_com(0x38);                        //显示模式设置,默认为0x38,不用变。
  94.         write_com(0x01);                        //显示清屏,将上次的内容清除,默认为0x01.
  95.         write_com(0x0c);                        //显示功能设置0x0f为开显示,显示光标,光标闪烁;0x0c为开显示,不显光标,光标不闪
  96.         write_com(0x06);                        //设置光标状态默认0x06,为读一个字符光标加1.
  97.         write_com(0x80);                        //设置初始化数据指针,是在读指令的操作里进行的
  98.         for(i=10;i<20;i++)                           //显示初始化
  99.         {
  100.                 write_date(table1[i]);                   //显示第一行字符
  101.         }
  102.         write_com(0x80+0x40);                           //选择第二行
  103.         for(i=0;i<9;i++)
  104.         {
  105.                 write_date(table1[i]);                   //显示第二行字符
  106.         }
  107.         write_com(0x80+10);                                   //选择第一行第十个位置
  108.         write_date(0);
  109.         write_date(1);
  110.         write_date(0);
  111.         write_date(1);
  112.         write_date(0);
  113.         write_date(1);                                           //显示自定义的波形图案
  114.         write_com(0x80+0x40+0x09);                   //选择第二行第九个位置
  115.         write_date(' ');
  116.         write_date('1');
  117.         write_date('0');
  118.         write_date('.');
  119.         write_date('0');
  120.         write_date('H');
  121.         write_date('z');                                   //显示初始的频率值
  122. }
  123. void initclock()                           //定时器初始化函数
  124. {
  125.         TMOD=0x01;                                   //定时器的工作方式
  126.         TH0=a;
  127.         TL0=b;                                           //定时器赋初值
  128.         EA=1;                                           //打开中断总开关
  129.         ET0=1;                                           //打开定时器允许中断开关
  130.         TR0=1;                                           //打开定时器定时开关
  131. }
  132. void display()                                   //显示函数
  133. {
  134.         uchar qian,bai,shi,ge;           //定义变量用于显示
  135.         qian=pinlv/1000;                   //将频率值拆成一位的数据,将数据除以1000,得到的商是一位数,赋值给qian
  136.         bai=pinlv%1000/100;                   //将频率除以1000的余数再除以100就得到了频率的百位,赋值给bai
  137.         shi=pinlv%1000%100/10;           //同上,得到频率的十位
  138.         ge=pinlv%1000%100%10;
  139.         write_com(0x80+0x40+0x09); //选中第二行第九个位置

  140.            if(qian==0)                                   //千位如果为0
  141.         write_date(' ');                   //不显示
  142.         else                                           //千位不为0
  143.         write_date(table[qian]);   //正常显示千位
  144.         if(qian==0&&bai==0)                   //千位和百位都为0
  145.         write_date(' ');                   //百位不显示
  146.         else                                           //不都为0
  147.         write_date(table[bai]);           //百位正常显示
  148.         write_date(table[shi]);           //显示十位数
  149.         write_date('.');                   //显示小数点
  150.         write_date(table[ge]);           //显示个位
  151.         write_date('H');                   //显示频率的单位Hz
  152.         write_date('z');
  153.         if(boxing==0)                           //判断波形为正弦波
  154.         {
  155.                 write_com(0x80+10);           //选中一行频率图案位置
  156.                 write_date(0);                   //显示正弦波图案
  157.                 write_date(1);
  158.                 write_date(0);
  159.                 write_date(1);
  160.                 write_date(0);
  161.                 write_date(1);
  162.                 led3=1;
  163.                 led0=0;                                        //点亮正弦波指示灯
  164.         }
  165.         if(boxing==1)                                //注释同上
  166.         {
  167.                 write_com(0x80+10);
  168.                 write_date(2);
  169.                 write_date(3);
  170.                 write_date(2);
  171.                 write_date(3);
  172.                 write_date(2);
  173.                 write_date(3);
  174.                 led0=1;
  175.                 led1=0;
  176.         }


  177.         if(boxing==2)
  178.         {
  179.                 write_com(0x80+10);
  180.                 write_date(4);
  181.                 write_date(5);
  182.                 write_date(4);
  183.                 write_date(5);
  184.                 write_date(4);
  185.                 write_date(5);
  186.                 led1=1;
  187.                 led2=0;
  188.         }
  189.         if(boxing==3)
  190.         {
  191.                 write_com(0x80+10);
  192.                 write_date(6);
  193.                 write_date(6);
  194.                 write_date(6);
  195.                 write_date(6);
  196.                 write_date(6);
  197.                 write_date(6);
  198.                 led2=1;
  199.                 led3=0;
  200.         }
  201. }
  202. void keyscan()                                        //频率调节键盘检测函数
  203. {
  204.         if(s1==0)                                        //加按键是否按下
  205.         {         
  206.                 EA=0;                                        //关闭中断
  207.                 delay(2);                            //延时去抖
  208.                 if(s1==0)                                //再次判断
  209.                 {
  210.                         while(!s1);                        //按键松开
  211.                         pinlv+=bujin;                //频率以步进值加
  212.                         if(pinlv>1000)                //最大加到100Hz
  213.                         {
  214.                                 pinlv=100;                //100Hz
  215.                         }
  216.                         display();                        //显示函数
  217.                         m=65536-(150000/pinlv);//计算频率
  218. /*频率值最小是10Hz,pinlv的值是100(因为要显示小数点后一位),150000/100=1500,这个1500就是定时器需要计时的,单位是us,65536-1500得到的是定时器的初值,
  219. 先不管初值,先看定时时间,1500us,一个波形的周期是由64个定时组成的,所以,一个波形周期就是64*1500us=96000,也就是96ms,约等
  220. 于100ms,也就是10Hz的频率*/
  221.                         a=m/256;                        //将定时器的初值赋值给变量
  222.                         b=m%256;
  223.                         EA=1;                                //打开中断总开关
  224.                 }
  225.         }
  226.         if(s2==0)                                        //减按键按下
  227.         {         
  228.                
  229.                 delay(5);
  230.                 if(s2==0)
  231.                 {
  232.                         EA=0;        
  233.                         while(!s2);
  234.                         pinlv-=bujin;                   //频率以步进值减
  235.                         if(pinlv<100)
  236.                         {
  237.                                 pinlv=1000;
  238.                         }
  239.                         display();
  240.                         m=65536-(150000/pinlv);
  241.                         a=m/256;
  242.                         b=m%256;        
  243.                         EA=1;
  244.                 }
  245.         }
  246.         if(s3==0)                                         //波形切换按键
  247.         {         
  248.                 delay(5);
  249.                 if(s3==0)
  250.                 {
  251.                         EA=0;
  252.                         while(!s3);
  253.                         boxing++;                           //波形切换
  254.                         if(boxing>=4)                   //4种波形
  255.                         {
  256.                                 boxing=0;
  257.                         }
  258.                         display();
  259.                         EA=1;
  260.                 }
  261.         }        
  262. }
  263. void bujindisplay()                                 //步进值设置界面显示程序
  264. {
  265.         uint bai,shi,ge;                         //定义步进值 百十个位
  266.         bai=bujin1/100;                                 //将步进值除以100得到百位,也就是频率值的十位,因为有一个小数位
  267.         shi=bujin1%100/10;                         //将步进值除以100的余数除以十得到十位
  268.         ge=bujin1%100%10;                         //取余10后得到个位,也就是频率步进值的小数点后一位
  269.         write_com(0x80+11);                         //选中液晶第一行第十一列
  270.         if(bai==0)                                         //百位是否为0
  271.         write_date(' ');                         //百位不显示
  272.         else                                                 //百位不为0
  273.         write_date(table[bai]);                 //显示百位数据
  274.         write_date(table[shi]);                 //显示十位数据
  275.         write_date('.');                         //显示小数点
  276.         write_date(table[ge]);                 //显示个位,也就是小数点后一位
  277. }
  278. void bujinjiance()                                 //步进值设置键盘程序
  279. {
  280.         if(s4==0)                                         //步进设置按键按下
  281.         {
  282.                 delay(5);                                 //延时去抖
  283.                 if(s4==0)                                 //再次判断按键
  284.                 {
  285.                          while(!s4);                 //按键释放,按键松开才继续向下执行
  286.                          h++;                                 //变量加
  287.                          if(h==1)                         //进入设置状态时
  288.                          {
  289.                                   write_com(0x01);                          //清屏
  290.                                  write_com(0x80);                          //初始化显示步进设置界面
  291.                                  write_date('S');delay(1);          //step value
  292.                                  write_date('t');delay(1);
  293.                                  write_date('e');delay(1);
  294.                                  write_date('p');delay(1);
  295.                                  write_date(' ');delay(1);
  296.                                  write_date('v');delay(1);
  297.                                  write_date('a');delay(1);
  298.                                  write_date('l');delay(1);
  299.                                  write_date('u');delay(1);
  300.                                  write_date('e');delay(1);
  301.                                  write_date(':');delay(1);
  302.                                  bujin1=bujin;                                  //步进值赋值给临时变量
  303.                                  
  304.                                  bujindisplay();                          //显示步进值
  305.                          }
  306.                          if(h==2)                                                  //退出设置
  307.                          {
  308.                                  h=0;                                                  //清零
  309.                                 bujin=bujin1;                                  //设置好的临时步进值赋值给步进变量
  310.                                 
  311.                                 init_lcd();                                          //初始化液晶显示
  312.                                 initclock();                                  //定时器初始化
  313.                                 display();                                          //调用显示程序
  314.                          }
  315.                 }
  316.         }
  317.         if(h==1)                                                                  //设置步进值时
  318.         {
  319.                 if(s1==0)                                                          //加按键按下
  320.                 {
  321.                         delay(5);                                                  //延时去抖
  322.                         if(s1==0)                                                  //再次判断
  323.                         {
  324.                                 while(!s1);                                          //按键释放
  325.                                 bujin1++;                                          //步进值加1
  326.                                 if(bujin1>=101)                                  //步进值最大100,也就是10.0Hz
  327.                                 {
  328.                                         bujin1=1;                                  //超过最大值就恢复到0.1Hz
  329.                                 }
  330.                                 bujindisplay();                                  //步进显示
  331.                         }
  332.                 }
  333.                 if(s2==0)                                                          //减按键,注释同上
  334.                 {
  335.                         delay(5);
  336.                         if(s2==0)
  337.                         {
  338.                                 while(!s2);
  339.                                 bujin1--;                                          //步进减
  340.                                 if(bujin1<=0)
  341.                                 {
  342.                                         bujin1=100;
  343.                                 }
  344.                                 bujindisplay();
  345.                         }
  346.                 }
  347.         }
  348. }
  349. void main()                                         //主函数
  350. {        
  351.         init_lcd();                                 //调用初始化程序
  352.         m=65536-(150000/pinlv);         //定时器初值
  353.         a=m/256;
  354.         b=m%256;
  355.         initclock();                         //定时器初始化
  356.         led0=0;                                         //点亮第一个波形指示灯
  357.         while(1)                                 //进入while循环,括号内为1,一直成立,所以也叫死循环,程序不会跳出,一直在内执行
  358.         {
  359.                 if(h==0)                         //正常模式不是步进调节
  360.                 {
  361.                         keyscan();                 //扫描按键
  362.                 //        display();
  363.                 }
  364.                  
  365.                 bujinjiance();                 //扫描步进调节程序

  366.                 switch(boxing)                                                        //选择波形
  367.                 {
  368.                         case 0 : P1=sin[u]; break;                        //正弦波
  369.                         case 1 : P1=juxing[u]; break;                //矩形波
  370.                         case 2 : P1=sanjiao[u]; break;                //三角波
  371.                         case 3 : P1=juchi[u]; break;                //锯齿波
  372.                 }
  373.         }
  374. }
  375. void T0_time()interrupt 1                   //定时器
  376. {
  377.         TH0=a;
  378.         TL0=b;                                                   //根据不同的初值,定时器定时时间不同,达到不同频率的目的
  379.         u++;                                                   //变量加
  380.         if(u>=64)                                           //一个周期采样64个点, 所以加到64就清零
  381.         u=0;                                                   //u清零
  382. }
复制代码

所有资料51hei提供下载:
函数发生器(单电源).7z (4.86 MB, 下载次数: 187)


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏8 分享淘帖 顶3 踩
回复

使用道具 举报

沙发
ID:784685 发表于 2020-6-20 07:36 | 只看该作者
完美,给个赞
回复

使用道具 举报

板凳
ID:789476 发表于 2020-7-11 20:55 | 只看该作者
这个误差大吗?
回复

使用道具 举报

地板
ID:188773 发表于 2020-9-4 11:54 | 只看该作者
正想做个函数信号发生器,下载学习参考下
回复

使用道具 举报

5#
ID:169638 发表于 2020-10-12 09:35 | 只看该作者
正需要一个信号放大器
回复

使用道具 举报

6#
ID:1108593 发表于 2024-1-10 12:00 | 只看该作者
赞。。。。。。。可惜频率不高
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表