找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 3284|回复: 6
收起左侧

单片机电梯仿真控制源程序与Proteus仿真

  [复制链接]
ID:813428 发表于 2020-9-23 13:18 | 显示全部楼层 |阅读模式
1.图中绿灯为电梯上行指示灯、黄灯为下行指示灯、红灯为报警指示灯。2.电梯初始状态位于一楼,在一楼的用户可直接按下电梯内部按钮,按启动电梯开始运行。3.在任一层楼的用户,可以通过电梯外部的按钮请求电梯,电梯到达后通过内部按钮确定目标层。注意:启动按钮相当于关门,电梯内部的请求都要按启动后电梯才会运行。

仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)
51hei.png 51hei.png

单片机源程序如下:
  1. #include <REG52.H>
  2. #include <INTRINS.H>

  3. unsigned char ucMotorDrvPuls; //电机运转时初始值
  4. unsigned char FORREV=1; //1表示上行,0表示下行
  5. unsigned char STOPCUR=0;//1电梯停留在当前层,0不停留
  6. unsigned char CURFLR=1; //当前所在楼层
  7. unsigned char count=0;  //累计到COUNT即表示运行过一层

  8. #define UCTIMES 8 //设置电机转速
  9. #define OUTPUT P2 //电机驱动信号口
  10. #define COUNT 8   //电机每循环8次表示电梯经过一层楼

  11. sbit UP1=P3^4;
  12. sbit DOWN2=P3^3;
  13. sbit UP2=P3^2;
  14. sbit DOWN3=P3^1;
  15. sbit UP3=P3^0;
  16. sbit DOWN4=P1^7;
  17. sbit FLOOR1=P1^0;
  18. sbit FLOOR2=P1^1;
  19. sbit FLOOR3=P1^2;
  20. sbit FLOOR4=P1^3;
  21. sbit START=P1^4;
  22. sbit STOP=P1^5;
  23. sbit ALARM=P1^6;

  24. //报警位
  25. sbit alarmBit=P0^4;
  26. sbit upLight=P0^5;
  27. sbit downLight=P0^6;

  28. void time(unsigned int ucMs);//延时单位:ms
  29. void outPress();//按下电梯外按钮
  30. unsigned char inPress();//按下电梯内楼层按钮
  31. unsigned char elevator();//到达某一层返回1,否则返回0
  32. void storeUP(unsigned char);//存储当前所有上行请求
  33. void storeDOWN(unsigned char);//存储当前所有下行请求

  34. //延时函数
  35. void time(unsigned int ucMs);

  36. //初始化所有灯
  37. void initLights(void);

  38. //设置当前楼层
  39. void setFloor(int floor);

  40. //设置电梯向上运行灯
  41. void setUpLight();

  42. //设置电梯向下运行灯
  43. void setDownLight();

  44. //设置电梯停止运行灯
  45. void setStopLight();

  46. //设置电梯向上运行灯
  47. void setAlarmLight();

  48. //设置电梯报警灯和喇叭
  49. void setAlarmLight();

  50. //关闭电梯报警灯和喇叭
  51. void offAlarmLight();


  52. //报警开关
  53. int alarmSwitch=1;

  54. unsigned char UP_req[5]={0,0,0,0,0}; //上行请求
  55. unsigned char DOWN_req[5]={0,0,0,0,0}; //下行请求

  56. //电机定位
  57. void position(void)
  58. {
  59.   OUTPUT=0x01|(P2&0xf0);time(200);
  60.   OUTPUT=0x02|(P2&0xf0);time(200);
  61.   OUTPUT=0x04|(P2&0xf0);time(200);
  62.   OUTPUT=0x08|(P2&0xf0);time(200);
  63.   ucMotorDrvPuls=0x11;
  64.   OUTPUT=0x01|(P2&0xf0);       
  65.   
  66. }

  67. /****************************************/
  68. /*                 主函数               */
  69. /****************************************/
  70. void main(void)
  71. {
  72.   time(100);
  73.   initLights();
  74.   position();//电机定位
  75.   ucMotorDrvPuls=0x11;
  76.   OUTPUT=0x00|(P2&0xf0);//电机停止
  77.   setFloor(CURFLR);
  78.   setUpLight();
  79.   time(100);
  80.   
  81.   while(1)//主循环
  82.   {
  83.           outPress();//按下电梯外按钮
  84.           while(STOPCUR==1)//电梯在当前层,电梯不动,可以继续接受请求
  85.           {
  86.             outPress();
  87.             inPress();
  88.           }  

  89.           if(inPress())//按下电梯内楼层按钮
  90.           {
  91.             while(START)//等待启动按键按下,电梯不动,可以继续接受请求
  92.             {
  93.               outPress();
  94.               inPress();
  95.             }
  96.           }

  97.           while(1)//电机运转循环
  98.           {
  99.             if(UP_req[1]==0&&UP_req[2]==0&&UP_req[3]==0&&
  100.                 DOWN_req[2]==0&&DOWN_req[3]==0&&DOWN_req[4]==0)
  101.                 {
  102.                   break;//没有请求,跳出电机运转循环,电梯不动
  103.                 }
  104.                   
  105.             if(FORREV)//上行
  106.             {
  107.                   setUpLight();//上行灯亮

  108.                   if(STOPCUR==1){break;}//

  109.                   if(elevator())//往上到达某一层
  110.               {
  111.                         if(CURFLR==4) {setDownLight();break;}//到达四楼
  112.               }
  113.               OUTPUT=(ucMotorDrvPuls&0x0f)|(P2&0xf0);
  114.                   ucMotorDrvPuls=_crol_(ucMotorDrvPuls,1);
  115.             }
  116.             if(!FORREV)//下行
  117.             {
  118.                   setDownLight();//下行灯亮
  119. ;
  120.                   if(STOPCUR==1){break;}

  121.                   if(elevator())//往下到达某一层
  122.               {
  123.                         if(CURFLR==1) {setUpLight();break;}//到达一楼
  124.               }
  125.               OUTPUT=(ucMotorDrvPuls&0x0f)|(P2&0xf0);
  126.                   ucMotorDrvPuls=_cror_(ucMotorDrvPuls,1);
  127.             }       
  128.        
  129.             outPress();//按下电梯外按钮
  130.             //if(inPress())//按下电梯内楼层按钮
  131.                 //{
  132.                  // while(START)//等待启动按键按下
  133.                   //{
  134.               //  outPress();
  135.                 inPress();//电梯运行时,内部按钮按下,无需按启动按钮
  136.              // }
  137.                 //}

  138.             time(380-UCTIMES*16);

  139.           }//end while-电机运转循环
  140.        
  141.         OUTPUT=0x00|(P2&0xf0);//电机停止,有请求时按下启动按钮启动

  142.   }//end while-主循环   
  143. }

  144. /****************************************/
  145. /*               按钮事件               */
  146. /****************************************/
  147. //按下电梯外按钮
  148. void outPress()
  149. {
  150.    //FORREV=1;
  151.    if(!UP1)//1楼上
  152.    {
  153.      storeUP(1);
  154.          if(CURFLR>1&&STOPCUR==1)//电梯不在一楼,且当前没其他请求,电梯马上自动启动
  155.          {
  156.            FORREV=0;//向下运行
  157.            STOPCUR=0;
  158.          }
  159.          if(CURFLR==1)
  160.          {
  161.            STOPCUR=1;//电梯停留在当前层
  162.          }
  163.    }
  164.    if(!UP2)//2楼上
  165.    {
  166.      storeUP(2);
  167.          if(CURFLR>2&&STOPCUR==1)//电梯在二楼以上
  168.          {
  169.            FORREV=0;
  170.            STOPCUR=0;
  171.          }
  172.          if(CURFLR<2&&STOPCUR==1)
  173.          {
  174.            FORREV=1;
  175.            STOPCUR=0;
  176.          }
  177.          if(CURFLR==2)
  178.          {
  179.            STOPCUR=1;
  180.          }
  181.    }
  182.    if(!UP3)//3楼上
  183.    {
  184.      storeUP(3);
  185.          if(CURFLR>3&&STOPCUR==1)//电梯在三楼以上
  186.          {
  187.            FORREV=0;
  188.            STOPCUR=0;
  189.          }
  190.          if(CURFLR<3&&STOPCUR==1)
  191.          {
  192.            FORREV=1;
  193.            STOPCUR=0;
  194.          }
  195.          if(CURFLR==3)
  196.          {
  197.            STOPCUR=1;
  198.          }
  199.    }
  200.    if(!DOWN2)//2楼下
  201.    {
  202.      storeDOWN(2);
  203.          if(CURFLR>2&&STOPCUR==1)//电梯在二楼以上
  204.          {
  205.            FORREV=0;
  206.            STOPCUR=0;
  207.          }
  208.          if(CURFLR<2&&STOPCUR==1)
  209.          {
  210.            FORREV=1;
  211.            STOPCUR=0;
  212.          }
  213.          if(CURFLR==2)
  214.          {
  215.            STOPCUR=1;
  216.          }
  217.    }
  218.    if(!DOWN3)//3楼下
  219.    {
  220.      storeDOWN(3);
  221.          if(CURFLR>3&&STOPCUR==1)//电梯在三楼以上
  222.          {
  223.            FORREV=0;
  224.            STOPCUR=0;
  225.          }
  226.          if(CURFLR<3&&STOPCUR==1)
  227.          {
  228.            FORREV=1;
  229.            STOPCUR=0;
  230.          }
  231.          if(CURFLR==3)
  232.          {
  233.            STOPCUR=1;
  234.          }
  235.    }
  236.    if(!DOWN4)//4楼下
  237.    {
  238.      storeDOWN(4);
  239.          if(CURFLR<4&&STOPCUR==1)
  240.          {
  241.            FORREV=1;
  242.            STOPCUR=0;
  243.          }
  244.          if(CURFLR==4)
  245.          {
  246.            STOPCUR=1;
  247.          }
  248.    }
  249. }

  250. //按下电梯内楼层按钮
  251. unsigned char inPress()
  252. {
  253.   
  254.   int i;
  255.   int flag=0;

  256.   if(!FLOOR1)
  257.   {       
  258.     if(1<CURFLR)
  259.         {
  260.           STOPCUR=0;
  261.           UP_req[1]=1;
  262.         }
  263.         if(1==CURFLR)
  264.         {
  265.           STOPCUR=1;
  266.         }
  267.         return 1;
  268.   }
  269.   if(!FLOOR2)
  270.   {
  271.     if(2>CURFLR)//请求层大于当前层
  272.         {
  273.           UP_req[2]=1;
  274.           STOPCUR=0;
  275.         }
  276.         if(2<CURFLR)
  277.         {
  278.           DOWN_req[2]=1;
  279.           STOPCUR=0;
  280.         }
  281.         if(2==CURFLR)
  282.         {
  283.           STOPCUR=1;
  284.         }
  285.         return 1;
  286.        
  287.   }
  288.   if(!FLOOR3)
  289.   {
  290.     if(3>CURFLR)//请求层大于当前层
  291.         {
  292.           UP_req[3]=1;
  293.           STOPCUR=0;
  294.         }
  295.         if(3<CURFLR)
  296.         {
  297.           DOWN_req[3]=1;
  298.           STOPCUR=0;
  299.         }
  300.         if(3==CURFLR)
  301.         {
  302.           STOPCUR=1;
  303.         }
  304.         return 1;
  305.   }

  306.   if(!FLOOR4)
  307.   {
  308.     if(4>CURFLR)
  309.         {
  310.       DOWN_req[4]=1;
  311.           STOPCUR=0;
  312.         }
  313.         if(4==CURFLR)
  314.         {
  315.           STOPCUR=1;
  316.         }
  317.         return 1;
  318.   }
  319.   if(!START)
  320.   {
  321.     STOPCUR=0;
  322.         return 1;
  323.   }
  324.   if(!STOP)//紧急停止
  325.   {
  326.     while(START)//不响应其他按键
  327.         {
  328.           //亮灯
  329.           setStopLight();
  330.         }
  331.         return 1;
  332.   }
  333.   if(!ALARM)
  334.   {
  335.           setAlarmLight();
  336.         return 1;
  337.   }

  338.    if(FORREV==1)
  339.    {
  340.       //请求上行而进去电梯内选择的是下层
  341.           for(i=CURFLR+1;i<=4;i++)
  342.           {
  343.             if(UP_req[i]==1||DOWN_req[i]==1){flag=1;}
  344.           }
  345.           if(flag==0)//上层没请求
  346.           {
  347.             FORREV=0;
  348.                
  349.           }
  350.         }
  351.         if(FORREV==0)
  352.         {
  353.            //请求下行而进去电梯内选择的是上层
  354.           for(i=CURFLR-1;i>=1;i--)
  355.           {
  356.             if(UP_req[i]==1||DOWN_req[i]==1){flag=1;}
  357.           }
  358.           if(flag==0)//上层没请求
  359.           {
  360.             FORREV=1;
  361.                
  362.           }
  363.         }
  364.   return 0;
  365. }

  366. /*******************************************************************/
  367. /*                       到达某一层返回1,否则返回0,                           */
  368. /*    亮灯、显示数字、请求清零、电机停止、确定接下去电机方向       */
  369. /*******************************************************************/
  370. unsigned char elevator()
  371. {
  372.   count++;
  373.   if(count==COUNT)
  374.   {
  375.         //正常情况
  376.     if(FORREV==1)//判断上行是否到达请求楼层,上行请求优先处理
  377.         {
  378.           CURFLR++;
  379.           setUpLight();//上行灯亮

  380.           

  381.           if(CURFLR==2)//到达二楼
  382.           {
  383.             count=0;       
  384.             setFloor(2);//显示数字
  385.             if(UP_req[2]==1)//二楼有上行请求,优先处理
  386.             {               
  387.                   setUpLight();
  388.               UP_req[2]=0;
  389.                   OUTPUT=0x00|(P2&0xf0);//电机停止
  390.                   STOPCUR=1;
  391.                   return 1;
  392.             }
  393.                 if(DOWN_req[2]==1&&UP_req[3]==0&&DOWN_req[3]==0
  394.                 &&DOWN_req[4]==0)//二楼有下行请求,上面两层没有请求,不再往上
  395.                 {                  
  396.                    setDownLight();
  397.                    DOWN_req[2]=0;
  398.                    STOPCUR=1;
  399.                    OUTPUT=0x00|(P2&0xf0);//电机停止
  400.                    FORREV=0;
  401.                    return 1;
  402.                 }
  403.           }
  404.           if(CURFLR==3)//到达三楼
  405.           {       
  406.             setFloor(3);//显示数字
  407.                 count=0;
  408.             if(UP_req[3]==1)//三楼有上行请求,优先处理
  409.             {
  410.                   setUpLight();
  411.               UP_req[3]=0;
  412.                   OUTPUT=0x00|(P2&0xf0);//电机停止
  413.                   STOPCUR=1;
  414.                   return 1;
  415.             }
  416.                 if(DOWN_req[3]==1&&DOWN_req[4]==0)//三楼有下行请求,四楼无请求,不再往上
  417.                 {
  418.                   setDownLight();
  419.                   FORREV=0;
  420.                   DOWN_req[3]=0;
  421.                   STOPCUR=1;
  422.                   OUTPUT=0x00|(P2&0xf0);//电机停止
  423.                   return 1;
  424.                 }
  425.           }
  426.           if(CURFLR==4)//到达四楼
  427.           {       
  428.             setFloor(4);//显示数字
  429.                 setDownLight();
  430.                 count=0;
  431.             if(DOWN_req[4]==1)//四楼有请求,四楼的请求只用向下的情况
  432.             {
  433.               DOWN_req[4]=0;
  434.                   FORREV=0;
  435.                   OUTPUT=0x00|(P2&0xf0);//电机停止
  436.                   STOPCUR=1;
  437.             }
  438.           }
  439.         }
  440.         else//判断下行是否到达请求层,下行请求优先处理
  441.         {
  442.           CURFLR--;
  443.           setDownLight();//下行等亮

  444.          

  445.           if(CURFLR==1)//到达一楼
  446.           {       
  447.             setFloor(1);//显示数字
  448.                 count=0;
  449.             if(UP_req[1]==1)//一楼有请求,一楼的请求只有向上的情况
  450.             {
  451.                   setUpLight();
  452.               UP_req[1]=0;
  453.                   FORREV=1;
  454.               OUTPUT=0x00|(P2&0xf0);//电机停止
  455.                   STOPCUR=1;
  456.             }
  457.           }
  458.           if(CURFLR==2)//到达二楼
  459.           {       
  460.             setFloor(2);//显示数字
  461.                 count=0;
  462.             if(DOWN_req[2]==1)//二楼有下行请求,优先处理
  463.             {
  464.                   setDownLight();
  465.               DOWN_req[2]=0;
  466.                   OUTPUT=0x00|(P2&0xf0);//电机停止
  467.                   STOPCUR=1;
  468.                   return 1;
  469.             }
  470.                 if(UP_req[2]==1&&UP_req[1]==0)//一楼无请求,不再往下
  471.                 {
  472.                   setUpLight();
  473.                   FORREV=1;
  474.                   UP_req[2]=0;
  475.                   STOPCUR=1;
  476.                   OUTPUT=0x00|(P2&0xf0);//电机停止
  477.                 }
  478.           }
  479.           if(CURFLR==3)//到达三楼
  480.           {       
  481.             setFloor(3);//显示数字
  482.                 count=0;
  483.             if(DOWN_req[3]==1)//三楼有下行请求,优先处理
  484.             {
  485.                   setDownLight();
  486.               DOWN_req[3]=0;
  487.                   OUTPUT=0x00|(P2&0xf0);//电机停止
  488.                   STOPCUR=1;
  489.                   return 1;
  490.             }
  491.                 if(UP_req[1]==0&&DOWN_req[2]==0&&UP_req[2]==0&&UP_req[3]==1)
  492.                 {
  493.                   setUpLight();
  494.                   FORREV=1;
  495.                   UP_req[3]=0;
  496.                   STOPCUR=1;
  497.                   OUTPUT=0x00|(P2&0xf0);//电机停止
  498.                 }
  499.           }
  500.         }//end if-FORREV

  501.     return 1;
  502.   }
  503.   else
  504.   {
  505.     return 0;
  506.   }//end if-count
  507. }

  508. /****************************************/
  509. /*               保存请求               */
  510. /****************************************/
  511. void storeUP(unsigned char x)
  512. {
  513.   UP_req[x]=1;
  514. }
  515. void storeDOWN(unsigned char x)
  516. {
  517.   DOWN_req[x]=1;
  518. }

  519. /****************************************/
  520. /*               功能函数               */
  521. /****************************************/
  522. //初始化所有灯
  523. void initLights()
  524. {
  525.         P0=0x11;
  526. }

  527. //设置楼层显示
  528. void setFloor(int floor)
  529. {
  530.         switch (floor)
  531.         {
  532.                 case 1:
  533.                 {       
  534.                         P0&=0xf0;//清零
  535.                         P0|=0x01;break;
  536.                 }
  537.                 case 2:
  538.                 {
  539.                         P0&=0xf0;//清零
  540.                         P0|=0x02;break;
  541.                 }
  542.                 case 3:
  543.                 {
  544.                         P0&=0xf0;//清零
  545.                         P0|=0x03;break;
  546.                 }
  547.                 case 4:
  548.                 {
  549.                         P0&=0xf0;//清零
  550.                         P0|=0x04;break;
  551.                 }
  552.                 default:
  553.                 {
  554.                         P0=0x06;break;
  555.                 }
  556.         }
  557. }

  558. //设置电梯向上运行灯
  559. void setUpLight()
  560. {
  561.         upLight=1;
  562.         downLight=0;
  563. }

  564. //设置电梯向下运行灯
  565. ……………………

  566. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码
51hei.png
所有资料51hei提供下载:
SJ 001 电梯控制系统.rar (537.43 KB, 下载次数: 194)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:337139 发表于 2020-9-23 19:47 | 显示全部楼层
很棒的,在上下楼的选择上还可以优化。
回复

使用道具 举报

ID:337139 发表于 2020-9-23 19:56 | 显示全部楼层

RE: 单片机电梯仿真控制源程序与Proteus仿真

各楼层模拟图

各楼层模拟图

回复

使用道具 举报

ID:301242 发表于 2021-5-21 11:31 | 显示全部楼层
请问大神再加两层应该注意什么
回复

使用道具 举报

ID:999778 发表于 2022-1-6 17:17 | 显示全部楼层
5层的时候只有一个下降键,那你在4层时如何上去?
回复

使用道具 举报

ID:709612 发表于 2022-2-18 17:17 | 显示全部楼层
强,代码可读性也很高,protues版图也很漂亮整洁
回复

使用道具 举报

ID:278457 发表于 2022-5-4 03:51 | 显示全部楼层
代码可以用模块化,这样程序会易懂,思路会更清晰.
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表