找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2568|回复: 0
打印 上一主题 下一主题
收起左侧

简易单片机交通灯Proteus仿真代码

[复制链接]
跳转到指定楼层
楼主
本系统采用STC89C52单片机以及单片机最小系统和三极管驱动电路以及外围的按键和数码管显示等部件,设计一个基于单片机的交通灯设计。设计通过两位一体共阴极数码管显示,并能通过按键对定时进行设置。本系统实用性强、操作简单、扩展功能强。设计通过STC89C52单片机以及单片机最小系统和三极管驱动数码管(数码管更亮,白天看的很清楚)以及外围的按键和数码管显示等部件,数码管倒计时显示时间。

提供大家对于单片机的学习。
仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)


单片机源程序如下:
  1. #include <reg52.h>                 //调用单片机头文件
  2. #define uchar unsigned char   //宏定义"uchar"代替"unsigned char"。
  3. #define uint  unsigned int          //宏定义"uint"用来定义无符号整型数。

  4. ////数码管段选定义  0   1    2    3    4    5         6         7          8           9        
  5. uchar smg_du[]={0x6f,0x03,0x3d,0x3b,0x53,0x7a,0x7e,0x23,0x7f,0x7b};         //断码

  6. uchar dis_smg[4] = {0};        

  7. //数码管位选定义
  8. sbit smg_we1 = P2^1;            //数码管位选定义
  9. sbit smg_we2 = P2^0;
  10. sbit smg_we3 = P3^6;
  11. sbit smg_we4 = P3^7;


  12. char dx_s = 0,nb_s = 0;        //东西  南北 倒计时变量

  13. sbit dx_red    = P2^7;            //东西红灯
  14. sbit dx_yellow = P2^6;                //东西黄灯
  15. sbit dx_green  = P2^5;                //东西绿灯

  16. sbit nb_red    = P2^4;                //南北红灯
  17. sbit nb_yellow = P2^3;                //南北黄灯        
  18. sbit nb_green  = P2^2;                //南北绿灯

  19. bit flag_1s = 0;
  20. bit flag_500ms;
  21. bit flag_dx_nb = 0;                 //东西南北模式
  22. uchar flag_5m_value;
  23. uchar dx_time = 30,nb_time = 20;   //东西、南北的时间
  24. uchar yellow_time = 5;
  25. uchar flag_jdgz ;     //交通管制

  26. /***********************数码位选函数*****************************/
  27. void smg_we_switch(uchar i)
  28. {
  29.         switch(i)
  30.         {
  31.                 case 0: smg_we1 = 0;  smg_we2 = 1; smg_we3 = 1;  smg_we4 = 1; break;
  32.                 case 1: smg_we1 = 1;  smg_we2 = 0; smg_we3 = 1;  smg_we4 = 1; break;
  33.                 case 2: smg_we1 = 1;  smg_we2 = 1; smg_we3 = 0;  smg_we4 = 1; break;
  34.                 case 3: smg_we1 = 1;  smg_we2 = 1; smg_we3 = 1;  smg_we4 = 0; break;
  35.         }        
  36. }

  37. /********************************************************************
  38. * 名称 : delay_1ms()
  39. * 功能 : 延时1ms函数
  40. * 输入 : q
  41. * 输出 : 无
  42. ***********************************************************************/
  43. void delay_1ms(uint q)
  44. {
  45.         uint i,j;
  46.         for(i=0;i<q;i++)
  47.                 for(j=0;j<110;j++);
  48. }


  49. /********************************************************************
  50. * 名称 : display()
  51. * 功能 : 数码管显示
  52. * 输入 : 无
  53. * 输出 : 无
  54. ***********************************************************************/
  55. void display()
  56. {
  57.         uchar i;
  58.         for(i=0;i<4;i++)
  59.         {               
  60.                 P0 = 0x00;                  //消隐
  61.                 smg_we_switch(i);            //位选
  62.                 P0 = dis_smg[i];          //段选         
  63.                 delay_1ms(3);
  64.         }
  65. }

  66. /*********************定时器0、定时器1初始化******************/
  67. void time0_init()         
  68. {
  69.         EA  = 1;                   //开总中断
  70.         TMOD = 0X11;          //定时器0、定时器1工作方式1
  71.         ET0 = 1;                  //开定时器0中断
  72.         TR0 = 1;                  //允许定时器0定时
  73. }

  74. /*********************交通灯处理函数*********************************/
  75. void jiaotongdeng_dis()
  76. {
  77.         if(flag_1s == 1)
  78.         {
  79.                 flag_1s = 0;
  80.                 if(flag_dx_nb == 0)           //南北时间
  81.                 {
  82.                         dx_s --;
  83.                         nb_s --;
  84.                         if(dx_s == yellow_time)
  85.                                 nb_s = yellow_time;
  86.                 }
  87.                 if(flag_dx_nb == 1)           //东西时间
  88.                 {
  89.                         dx_s --;
  90.                         nb_s --;
  91.                         if(nb_s == yellow_time)
  92.                                 dx_s = yellow_time;
  93.                 }
  94.                 if(dx_s <= 0)
  95.                 {
  96.                         flag_dx_nb = ~flag_dx_nb;
  97.                         if(flag_dx_nb == 1)
  98.                         {
  99.                                 dx_s = dx_time;          //东西时间
  100.                                 nb_s = dx_time + yellow_time;
  101.                         }
  102.                         else
  103.                         {
  104.                                 dx_s = nb_time + yellow_time;        
  105.                                 nb_s = nb_time;          //南北时间
  106.                         }
  107.                 }
  108.         }
  109.         dis_smg[0] = smg_du[dx_s % 10] ;
  110.         dis_smg[1] = smg_du[dx_s / 10] ;
  111.         dis_smg[2] = smg_du[nb_s % 10] ;
  112.         dis_smg[3] = smg_du[nb_s / 10] ;

  113. /***********************南北时间*********************************/
  114.                 if(flag_dx_nb == 0)  
  115.                 {
  116.                         if(dx_s > yellow_time)
  117.                         {
  118.                                 dx_red    = 0;     //亮
  119.                                 dx_green  =        1;           //灭
  120.                                 dx_yellow = 1;           //灭
  121.                                 nb_red    = 1;     //灭
  122.                                 nb_green  =        0;           //亮
  123.                                 nb_yellow = 1;           //灭

  124.                                 flag_5m_value = 0;        
  125.                         }else if(dx_s <= yellow_time)                 //当小于5秒时  黄灯要闪了
  126.                         {
  127.                                 dx_red    = 0;     //灭
  128.                                 dx_green  =        1;           //灭
  129.                                 dx_yellow = 1;           //灭
  130.                                 nb_red    = 1;     //灭
  131.                                 nb_green  =        1;           //灭
  132.                                 if(flag_500ms == 0)           //黄灯闪烁
  133.                                 {
  134.                                         nb_yellow = 0;        //亮        
  135.                                 }
  136.                                 else
  137.                                 {
  138.                                         nb_yellow = 1;        //灭        
  139.                                 }
  140.                         }
  141.                 }
  142. /***********************东西时间*********************************/
  143.                 if(flag_dx_nb == 1)  
  144.                 {
  145.                         if(nb_s > yellow_time)
  146.                         {
  147.                                 dx_red    = 1;  //灭
  148.                                 dx_green  =        0;        //亮
  149.                                 dx_yellow = 1;        //灭
  150.                                 nb_red    = 0;  //亮
  151.                                 nb_green  =        1;        //灭
  152.                                 nb_yellow = 1;        //灭
  153.                                 flag_5m_value = 0;        
  154.                         }else if(nb_s <= yellow_time)                 //当小于5秒时  黄灯要闪了
  155.                         {
  156.                                 dx_red    = 1;    //灭
  157.                                 dx_green  =        1;          //灭
  158.                                 nb_red    = 0;    //亮
  159.                                 nb_green  =        1;          //灭
  160.                                 nb_yellow = 1;          //灭
  161.                                 if(flag_500ms == 0)
  162.                                 {
  163.                                         dx_yellow = 0;//亮        
  164.                                 }
  165.                                 else
  166.                                 {
  167.                                         dx_yellow = 1;//灭        
  168.                                 }

  169.                         }
  170.         }                        
  171. }

  172. /********************独立按键程序*****************/
  173. uchar key_can;         //按键值

  174. void key()         //独立按键程序
  175. {
  176.         static uchar key_new;
  177.         key_can = 0;                   //按键值还原
  178.         P1 |= 0x0f;
  179.         if((P1 & 0x0f) != 0x0f)                //按键按下
  180.         {
  181.                 delay_1ms(1);                     //按键消抖动
  182.                 if(((P1 & 0x0f) != 0x0f) && (key_new == 1))
  183.                 {                                                //确认是按键按下
  184.                         key_new = 0;
  185.                         switch(P1 & 0x0f)
  186.                         {
  187.                                 case 0x0e:  key_can = 1;  break;         //得到按键值
  188.                                 case 0x0d:  key_can = 2;  break;         //得到按键值
  189.                                 case 0x0b:  key_can = 3;  break;         //得到按键值
  190.                                 case 0x07:  key_can = 4;  break;         //得到按键值
  191.                         }
  192.                 }                        
  193.         }
  194.         else
  195.                 key_new = 1;        
  196. }

  197. uchar menu_1,flag_s;


  198. /********************设置函数*****************/
  199. void key_with()
  200. {
  201.         if(key_can == 4)   //交通管制按键
  202.         {
  203.                 flag_jdgz ++;
  204.                 if(flag_jdgz > 4)
  205.                         flag_jdgz = 0;        
  206.                 if(flag_jdgz == 1)         //  全部亮红灯
  207.                 {
  208.                         dx_red    = 0;  //亮
  209.                         dx_green  =        1;        //灭
  210.                         dx_yellow = 1;        //灭
  211.                         nb_red    = 0;  //亮
  212.                         nb_green  =        1;        //灭
  213.                         nb_yellow = 1;        //灭                        
  214.                 }
  215.                 if(flag_jdgz == 2)         //  南北黄灯  东西黄灯
  216.                 {
  217.                         dx_red    = 1;  //灭
  218.                         dx_green  =        1;        //灭
  219.                         dx_yellow = 0;        //亮
  220.                         nb_red    = 1;  //灭
  221.                         nb_green  =        1;        //灭
  222.                         nb_yellow = 0;        //亮                        
  223.                 }
  224.                 if(flag_jdgz == 3)         //  东西红灯  南北绿灯
  225.                 {
  226.                         dx_red    = 0;  //亮
  227.                         dx_green  =        1;        //灭
  228.                         dx_yellow = 1;        //灭
  229.                         nb_red    = 1;  //灭
  230.                         nb_green  =        0;        //亮
  231.                         nb_yellow = 1;        //灭                        
  232.                 }
  233.                 if(flag_jdgz == 4)         //  南北红灯  东西绿灯
  234.                 {
  235.                         dx_red    = 1;  //灭
  236.                         dx_green  =        0;        //亮
  237.                         dx_yellow = 1;        //灭
  238.                         nb_red    = 0;  //亮
  239.                         nb_green  =        1;        //灭
  240.                         nb_yellow = 1;        //灭                        
  241.                 }
  242.                 dis_smg[0] = 0 ;  //不显示
  243.                 dis_smg[1] = 0 ;  //不显示
  244.                 dis_smg[2] = 0 ;  //不显示
  245.                 dis_smg[3] = 0 ;  //不显示

  246.         }
  247.         if(key_can == 1)          //设置键
  248.         {
  249.                 menu_1 ++;
  250.                 if(menu_1 > 3)
  251.                 {
  252.                         menu_1  = 0;
  253.                 }
  254.         }

  255.         if(menu_1 == 1)           //设置东西的时间
  256.         {
  257.                 if(key_can == 2)
  258.                 {
  259.                         dx_time ++ ;                //加1
  260.                         if(dx_time > 99)
  261.                                 dx_time = 99;
  262.                 }
  263.                 if(key_can == 3)
  264.                 {
  265.                         dx_time -- ;                //减1
  266.                         if(dx_time <= 5)
  267.                                 dx_time = 5;
  268.                 }
  269.                 dis_smg[0] = smg_du[dx_time % 10] ;                //显示东西设置的时候
  270.                 dis_smg[1] = smg_du[dx_time / 10] ;        
  271.                 dis_smg[2] = 0x00 ;        //不显示
  272.                 dis_smg[3] = 0x00 ;        //不显示
  273.         }        
  274.         if(menu_1 == 2)           //设置南北的时间
  275.         {
  276.                 if(key_can == 2)
  277.                 {
  278.                         nb_time ++ ;                //加1
  279.                         if(nb_time > 99)
  280.                                 nb_time = 99;
  281.                 }
  282.                 if(key_can == 3)
  283.                 {
  284.                         nb_time -- ;                //减1
  285.                         if(nb_time <= 5)
  286.                                 nb_time = 5;
  287.                 }
  288.                 dis_smg[0] = 0x00 ;        //不显示
  289.                 dis_smg[1] = 0x00 ;        //不显示
  290.                 dis_smg[2] = smg_du[nb_time % 10] ;                //显示东西设置的时候
  291.                 dis_smg[3] = smg_du[nb_time / 10] ;        
  292.         }
  293.         if(menu_1 == 3)           //设置黄灯的时间
  294.         {
  295.                 if(key_can == 2)
  296.                 {
  297.                         yellow_time ++ ;                //加1
  298.                         if(yellow_time > 99)
  299.                                 yellow_time = 99;
  300.                 }
  301.                 if(key_can == 3)
  302.                 {
  303.                         yellow_time -- ;                //减1
  304.                         if(yellow_time <= 1)
  305.                                 yellow_time = 1;
  306.                 }
  307.                 dis_smg[0] = smg_du[yellow_time % 10] ;                //显示黄灯时间
  308.                 dis_smg[1] = smg_du[yellow_time / 10] ;        
  309.                 dis_smg[2] = smg_du[yellow_time % 10] ;                //显示黄灯时间
  310.                 dis_smg[3] = smg_du[yellow_time / 10] ;        
  311.         }
  312. }


  313. /********************************************************************
  314. * 名称 : main()
  315. * 功能 : 实现灯的闪烁
  316. * 输入 : 无
  317. * 输出 : 无
  318. ……………………

  319. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

仿真与程序51hei提供下载:
简易交通灯.rar (842.89 KB, 下载次数: 112)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表