找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1333|回复: 2
打印 上一主题 下一主题
收起左侧

基于51单片机的双核系统设计

[复制链接]
跳转到指定楼层
楼主
本系统是一个基于51单片机的双核系统设计。采用单片机型号STC12C60S2,使用串口作为数据总线,占用两个io作为控制总线。例程是驱动LCD1602,用一个单片机P0口的低四位驱动1602dat口的高四位,另一个单片机P0口低四位驱动1602dat口的高四位。显示内容为两个12单片机的P1.0采集电位器的电压量。同时第二个单片机输出硬件pwm。其实也不能说是双核吧,但是时序配合必须很好,不然1602驱动不起来从核只需要对硬件初始化和io口操作,逻辑在主核实现,然后传给从核,从核处理后对相应io口操作。

不能发视频就很尴尬。
贴出代码,感兴趣的朋友可以试下。需要说明的是,PWM可以通过数据总线传给第二个单片机的,改天试试。有想法的朋友可以交流下。
画重点!敲黑板!
我做这个完全处于兴趣,觉得没什么用的可以划走了。
接下来的计划是做一个四核系统。

制作出来的实物图如下:


/*************** 用户定义参数 *****************************/

#define MAIN_Fosc                22118400L        //define main clock

#define Baudrate1                9600                //define the baudrate, 如果使用BRT做波特率发生器,则波特率跟串口2一样
                                                                        //12T mode: 600~115200 for 22.1184MHZ, 300~57600 for 11.0592MHZ

#define Baudrate2                9600                //define the baudrate2,
                                                                        //12T mode: 600~115200 for 22.1184MHZ, 300~57600 for 11.0592MHZ

#define                BUF_LENTH        128                //定义串口接收缓冲长度

/**********************************************************/

#include<reg51.h>        
#include<intrins.h>  //包含_nop_()函数定义的头文件
#include<fun.h>

sfr AUXR1 = 0xA2;
sfr        AUXR = 0x8E;

sfr S2CON = 0x9A;        //12C5A60S2双串口系列
sfr S2BUF = 0x9B;        //12C5A60S2双串口系列
sfr IE2   = 0xAF;        //STC12C5A60S2系列
sfr BRT   = 0x9C;

unsigned char         uart1_wr=0;                //写指针
unsigned char         uart1_rd=0;                //读指针
unsigned char         xdata RX1_Buffer[BUF_LENTH];
bit                B_TI;

unsigned char         uart2_wr=0;                //写指针
unsigned char         uart2_rd=0;                //读指针
unsigned char         xdata RX2_Buffer[BUF_LENTH];
bit                B_TI2;


/****************** 编译器自动生成,用户请勿修改 ************************************/

#define T1_TimerReload        (256 - MAIN_Fosc / 192 / Baudrate1)                        //Calculate the timer1 reload value        at 12T mode
#define BRT_Reload                (256 - MAIN_Fosc / 12 / 16 / Baudrate2)                //Calculate BRT reload value

#define        TimeOut1                (28800 / (unsigned long)Baudrate1 + 2)
#define        TimeOut2                (28800 / (unsigned long)Baudrate2 + 2)

#define        TI2                                (S2CON & 0x02) != 0
#define        RI2                                (S2CON & 0x01) != 0
#define        CLR_TI2()                S2CON &= ~0x02
#define        CLR_RI2()                S2CON &= ~0x01

/******LCD1602***********/
sbit p00=P0^0;
sbit p01=P0^1;
sbit p02=P0^2;
sbit p03=P0^3;
sbit p04=P0^4;
sbit p05=P0^5;
sbit p06=P0^6;

sbit RS=P2^6;    //寄存器选择位,将RS位定义为P2.6引脚
sbit RW=P2^5;    //读写选择位,将RW位定义为P2.5引脚
sbit E=P2^7;     //使能信号位,将E位定义为P2.7引脚
sbit BF=P0^7;    //忙碌标志位,,将BF位定义为P0.7引脚

sbit tx=P2^0;    //从机状态线
sbit rx=P2^1;    //从机状态线

unsigned char flag1,flag2,flag3,flag4;//发送指令位的值
unsigned char  string[ ]={"Core1:      V   "},string2[ ]={"Core2:      V   "};

/*****************ADC配置*************************/

sfr P1ASF     = 0x9D;        //12C5A60AD/S2系列模拟输入(AD或LVD)选择
sfr ADC_CONTR = 0xBC;        //带AD系列
sfr ADC_RES   = 0xBD;        //带AD系列
sfr ADC_RESL  = 0xBE;        //带AD系列

//                                                                7       6      5       4         3      2    1    0   Reset Value
//sfr ADC_CONTR = 0xBC;                ADC_POWER SPEED1 SPEED0 ADC_FLAG ADC_START CHS2 CHS1 CHS0 0000,0000        //AD 转换控制寄存器
#define ADC_OFF()        ADC_CONTR = 0
#define ADC_ON                (1 << 7)
#define ADC_90T                (3 << 5)
#define ADC_180T        (2 << 5)
#define ADC_360T        (1 << 5)
#define ADC_540T        0
#define ADC_FLAG        (1 << 4)        //软件清0
#define ADC_START        (1 << 3)        //自动清0
#define ADC_CH0                0
#define ADC_CH1                1
#define ADC_CH2                2
#define ADC_CH3                3
#define ADC_CH4                4
#define ADC_CH5                5
#define ADC_CH6                6
#define ADC_CH7                7
unsigned int        adc10_start(unsigned char channel);        //channel = 0~7
double adc;                                                                                          
/********************PWM******************************/
#define                PCA_IDLE_DISABLE        0                //1: MCU在IDLE模式时禁止PCA工作。        0:  MCU在IDLE模式时允许PCA工作。
#define                PCA_SOURCE_SELECT        4                //选择PCA的基准时钟源。
                                                                                //0:系统时钟Fosc/12。
                                                                                //1:系统时钟Fosc/2。
                                                                                //2:定时器0的溢出。
                                                                                //3:ECI/P3.4脚的外部时钟输入(最大=Fosc/2)。
                                                                                //4:系统时钟Fosc。
                                                                                //5:系统时钟Fosc/4。
                                                                                //6:系统时钟Fosc/6。
                                                                                //7:系统时钟Fosc/8。
sfr CCON = 0xD8;
sfr CMOD = 0xD9;
sfr CCAPM0 = 0xDA;        //PCA module 0 work mode
sfr CCAPM1 = 0xDB;        //PCA module 1 work mode
sfr CL     = 0xE9;        //PCA counter
sfr CCAP0L = 0xEA;        //PCA模块0的捕捉/比较寄存器低8位。
sfr CCAP1L = 0xEB;        //PCA模块1的捕捉/比较寄存器低8位。
sfr PCA_PWM0 = 0xF2;        //PCA模块0 PWM寄存器。
sfr PCA_PWM1 = 0xF3;        //PCA模块1 PWM寄存器。
sfr CH     = 0xF9;
sfr CCAP0H = 0xFA;                //PCA模块0的捕捉/比较寄存器高8位。
sfr CCAP1H = 0xFB;                //PCA模块1的捕捉/比较寄存器高8位。

sbit CCF0  = CCON^0;        //PCA 模块0中断标志,由硬件置位,必须由软件清0。
sbit CCF1  = CCON^1;        //PCA 模块1中断标志,由硬件置位,必须由软件清0。
sbit CR    = CCON^6;        //1: 允许PCA计数器计数,必须由软件清0。
sbit CF    = CCON^7;        //PCA计数器溢出(CH,CL由FFFFH变为0000H)标志。PCA计数器溢出后由硬件置位,必须由软件清0。

#define                PWM0_NORMAL()        PCA_PWM0 = 0        //PWM0正常输出(默认)
#define                PWM0_OUT_0()        PCA_PWM0 = 3        //PWM0一直输出0
#define                PWM1_NORMAL()        PCA_PWM1 = 0        //PWM1正常输出(默认)
#define                PWM1_OUT_0()        PCA_PWM1 = 3        //PWM1一直输出0


/*****************************************************
函数功能:延时1ms
(3j+2)*i=(3×33+2)×10=1010(微秒),可以认为是1毫秒
***************************************************/
void delay1ms()
{
   unsigned char i,j;        
         for(i=0;i<10;i++)
          for(j=0;j<33;j++)
           ;                 
}
/*****************************************************
函数功能:延时若干毫秒
入口参数:n
***************************************************/
void delay(unsigned char n)
{
   unsigned char i;
        for(i=0;i<n;i++)
           delay1ms();
}
/*****************************************************
函数功能:判断液晶模块的忙碌状态
返回值:result。result=1,忙碌;result=0,不忙
***************************************************/
unsigned char BusyTest(void)
  {
    bit result;
        RS=0;       //根据规定,RS为低电平,RW为高电平时,可以读状态
    RW=1;
    E=1;        //E=1,才允许读写
    _nop_();   //空操作
    _nop_();
    _nop_();
    _nop_();   //空操作四个机器周期,给硬件反应时间
    result=BF;  //将忙碌标志电平赋给result
        E=0;
    return result;
  }
/*****************************************************
函数功能:将模式设置指令或显示地址写入液晶模块
入口参数:dictate
***************************************************/
void WriteInstruction (unsigned char dictate)
{   
    flag1=0;flag2=0;flag3=0;flag4=0;
//   while(BusyTest()==1); //如果忙就等待
         RS=0;                  //根据规定,RS和R/W同时为低电平时,可以写入指令
         RW=0;   
         E=0;                   //E置低电平(根据表8-6,写指令时,E为高脉冲,
                             // 就是让E从0到1发生正跳变,所以应先置"0"

         _nop_();
         _nop_();             //空操作两个机器周期,给硬件反应时间
        
         
          if((dictate & 0x10 )){
          flag1=1;  }else
          {flag1=0;}
          if((dictate & 0x20 )){
          flag2=1;  }else
          {flag2=0;}
          if((dictate & 0x40 )){
          flag3=1;  }else
          {flag3=0;}
          if((dictate & 0x80 )){
          flag4=1;  }else
          {flag4=0;}

             tx=0;                                                          //可以执行下步
          delay(30);
        if(flag1==1&&flag2==1&&flag3==1&&flag4==1)        {PrintString1("L111100000");}        
   else if(flag1==0&&flag2==1&&flag3==1&&flag4==1)        {PrintString1("L011100000");}  
   else if(flag1==1&&flag2==0&&flag3==1&&flag4==1)        {PrintString1("L101100000");}
   else if(flag1==0&&flag2==0&&flag3==1&&flag4==1)        {PrintString1("L001100000");}
   else if(flag1==1&&flag2==1&&flag3==0&&flag4==1)        {PrintString1("L110100000");}   
   else if(flag1==0&&flag2==1&&flag3==0&&flag4==1)        {PrintString1("L010100000");}  
   else if(flag1==1&&flag2==0&&flag3==0&&flag4==1)        {PrintString1("L100100000");}
   else if(flag1==0&&flag2==0&&flag3==0&&flag4==1)        {PrintString1("L000100000");}
   else if(flag1==1&&flag2==1&&flag3==1&&flag4==0)        {PrintString1("L111000000");}
   else if(flag1==0&&flag2==1&&flag3==1&&flag4==0)        {PrintString1("L011000000");}
   else if(flag1==1&&flag2==0&&flag3==1&&flag4==0)        {PrintString1("L101000000");}  
   else if(flag1==0&&flag2==0&&flag3==1&&flag4==0)        {PrintString1("L001000000");}
   else if(flag1==1&&flag2==1&&flag3==0&&flag4==0)        {PrintString1("L110000000");}
   else if(flag1==0&&flag2==1&&flag3==0&&flag4==0)        {PrintString1("L010000000");}
   else if(flag1==1&&flag2==0&&flag3==0&&flag4==0)        {PrintString1("L100000000");}         
   else if(flag1==0&&flag2==0&&flag3==0&&flag4==0)  {PrintString1("L000000000");}  
   delay(30);                                                  //等待从机将信号置零
   tx=1;


     while(rx==1);                                          //如果从机已执行完
          if((dictate & 0x01 )){
          p00=1;  }else
          {p00=0;}
          if((dictate & 0x02 )){
          p01=1;  }else
          {p01=0;}
          if((dictate & 0x04 )){
          p02=1;  }else
          {p02=0;}
          if((dictate & 0x08 )){
          p03=1;  }else
          {p03=0;}
        
         _nop_();
         _nop_();
         _nop_();
         _nop_();               //空操作四个机器周期,给硬件反应时间
        
         E=1;                   //E置高电平

         _nop_();
         _nop_();
         _nop_();
         _nop_();               //空操作四个机器周期,给硬件反应时间
        
         E=0;                   //当E由高电平跳变成低电平时,液晶模块开始执行命令
         
}
/*****************************************************
函数功能:指定字符显示的实际地址
入口参数:x
***************************************************/
void WriteAddress(unsigned char x)
{
     WriteInstruction(x|0x80); //显示位置的确定方法规定为"80H+地址码x"
}
/*****************************************************
函数功能:将数据(字符的标准ASCII码)写入液晶模块
入口参数:y(为字符常量)
***************************************************/
void WriteData(unsigned char y)
{
     flag1=0;flag2=0;flag3=0;flag4=0;
   //  while(BusyTest()==1);  
          RS=1;           //RS为高电平,RW为低电平时,可以写入数据
          RW=0;
          E=0;            //E置低电平(根据表8-6,写指令时,E为高脉冲,
                       // 就是让E从0到1发生正跳变,所以应先置"0"
          if(y & 0x10 ){
          flag1=1;  }else
          {flag1=0;}
          if(y & 0x20 ){
          flag2=1;  }else
          {flag2=0;}
          if(y & 0x40 ){
          flag3=1;  }else
          {flag3=0;}
          if(y & 0x80 ){
          flag4=1;  }else
          {flag4=0;}  

            tx=0;                                                          //可以执行下步
         delay(30);
        if(flag1==1&&flag2==1&&flag3==1&&flag4==1)        {PrintString1("L111100000");}        
   else if(flag1==1&&flag2==1&&flag3==1&&flag4==0)        {PrintString1("L111000000");}  
   else if(flag1==1&&flag2==1&&flag3==0&&flag4==1)        {PrintString1("L110100000");}
   else if(flag1==1&&flag2==1&&flag3==0&&flag4==0)        {PrintString1("L110000000");}
   else if(flag1==1&&flag2==0&&flag3==1&&flag4==1)        {PrintString1("L101100000");}
   else if(flag1==1&&flag2==0&&flag3==1&&flag4==0)        {PrintString1("L101000000");}  
   else if(flag1==1&&flag2==0&&flag3==0&&flag4==1)        {PrintString1("L100100000");}
   else if(flag1==1&&flag2==0&&flag3==0&&flag4==0)        {PrintString1("L100000000");}
   else if(flag1==0&&flag2==1&&flag3==1&&flag4==1)        {PrintString1("L011100000");}  
   else if(flag1==0&&flag2==1&&flag3==1&&flag4==0)        {PrintString1("L011000000");}
   else if(flag1==0&&flag2==1&&flag3==0&&flag4==1)        {PrintString1("L010100000");}
   else if(flag1==0&&flag2==1&&flag3==0&&flag4==0)        {PrintString1("L010000000");}
   else if(flag1==0&&flag2==0&&flag3==1&&flag4==1)        {PrintString1("L001100000");}
   else if(flag1==0&&flag2==0&&flag3==1&&flag4==0)        {PrintString1("L001000000");}
   else if(flag1==0&&flag2==0&&flag3==0&&flag4==1)        {PrintString1("L000100000");}
   else if(flag1==0&&flag2==0&&flag3==0&&flag4==0)        {PrintString1("L000000000");}
     delay(30);
         tx=1;
         
          while(rx==1);        
      if((y & 0x01 )){
          p00=1;  }else
          {p00=0;}
          if((y & 0x02 )){
          p01=1;  }else
          {p01=0;}
          if((y & 0x04 )){
          p02=1;  }else
          {p02=0;}
          if((y & 0x08 )){
          p03=1;  }else
          {p03=0;}

          _nop_();
          _nop_();
           _nop_();
      _nop_();       //空操作四个机器周期,给硬件反应时间
               
          E=1;           //E置高电平
        
          _nop_();
          _nop_();
          _nop_();
          _nop_();        //空操作四个机器周期,给硬件反应时间
        
          E=0;            //当E由高电平跳变成低电平时,液晶模块开始执行命令
}
/*****************************************************
函数功能:对LCD的显示模式进行初始化设置
***************************************************/
void InitLCD1602(void)
{
    delay(200);               //延时15ms,首次写指令时应给LCD一段较长的反应时间
        WriteInstruction(0x38);         //0x38
        delay(200);
        WriteInstruction(0x0c);  
        delay(200);
        WriteInstruction(0x04);  
        delay(200);
        WriteInstruction(0x01);  //清屏幕指令,将以前的显示内容清除
        delay(200);
}

/**********************************************************/


void        main(void)
{
    unsigned char i,j,adc_i,adc_result[5],core2_adc[5],core_adc_i=1,core_adc_lcd1602=0;
        unsigned int adc_j;
        unsigned char y=0;
        unsigned char core2_i;
        /*******PWM***************/
        unsigned char pwm1,pwm0;
        unsigned int pwm_i;
        /*******ADC配置***********/
        double adc;
        P1ASF = 0XE3;//0xff;                        
        ADC_CONTR = ADC_360T | ADC_ON;//只选择p10 若是所以选ADC_ON;
        /********************PWM配置*****************************/
        pwm0 = 0;                        // PWM0 初始值
        pwm1 = 0;                        // PWM1 初始值
        
        CCAP0H = pwm0;                //set PWM wide
        CCAPM0 = 0x42;                //0x42 Setup PCA module 0 in PWM mode
        
        CCAP1H = pwm1;                //set PWM wide
        CCAPM1 = 0x42;                //0x42 Setup PCA module 1 in PWM mode

        CMOD = (PCA_IDLE_DISABLE << 7) | (PCA_SOURCE_SELECT << 1);        //初始化PCA模式寄存器。
        CL = 0x00;                //clear PCA counter
        CH = 0x00;
        CR = 1;                        //Start PCA counter
        /********************************************************/
    uart1_init();
        uart2_init();
    InitLCD1602();//调用LCD初始化函数


//        AUXR |=  0x01;                //串口1使用独立波特率发生器, 波特率跟串口2一样
//        AUXR1 |= (1<<4);        //将UART2从P1口切换到 RXD2--P1.2切换到P4.2   TXD2---P1.3切换到P4.3

        WriteInstruction(0x01);//清显示:清屏幕指令
        WriteAddress(0x00);    //设置显示位置为第一行的第0个字
        for(i=0;i<16;i++){
        WriteData(string[ i]);
     }
        WriteAddress(0xc0);    //设置显示位置为第二行的第0个字
        for(j=0;j<16;j++){
        WriteData(string2[j]);
   }
        while(1){
           
                /*
                if(uart2_rd != uart2_wr)        //串口2转发
                {
                        UART2_TxByte(RX2_Buffer[uart2_rd]);
                        if(++uart2_rd >= BUF_LENTH)                uart2_rd = 0;
                }
                */
         
        //PWM
    //for(pwm_i=0; pwm_i<3000; pwm_i++);        //延时一下

        pwm0+=20;
        pwm1++;
        CCAP0H = pwm0;                //set PWM wide
        CCAP1H = pwm1;                //set PWM wide
        
        //ADC
    //for(adc_i=0; adc_i<8; adc_i++){ //8路ADC  
        adc_j = adc10_start(0/*adc_i*/);
        adc=(double)adc_j/2.048;
        adc_result[0]=(int)adc/1000 + '0';
        adc_result[1]=(int)adc%1000/100 + '0';
        adc_result[2]='.';
        adc_result[3]=(int)adc%100/10 + '0';
        adc_result[4]=(int)adc%10 + '0';
    //}
        //LCD1602
        WriteAddress(0x07);    //设置显示位置为第一行的第0个字
        for(i=0;i<5;i++){
        WriteData((char)adc_result[ i]);
}
        WriteAddress(0xc7);    //设置显示位置为第二行的第0个字
    if(uart1_rd !=6/* uart1_wr*/&&RX1_Buffer[0]=='A'){        //串口0转发
                for(core_adc_i=1,core_adc_lcd1602=0;core_adc_i<6,core_adc_lcd1602<5;core_adc_i++,core_adc_lcd1602++){
                core2_adc[core_adc_lcd1602]=RX1_Buffer[core_adc_i];
          }
     }
        for(core2_i=0;core2_i<5;core2_i++){
        WriteData((char)core2_adc[core2_i]);//此处数据接收无问题
        //UART2_TxByte((char)core2_adc[core2_i]);
        if(++uart1_rd >= BUF_LENTH)                uart1_rd = 0;
          }        
        WriteInstruction(0x38);         //0x38
  }
}

void        UART1_TxByte(unsigned char dat)
{
        B_TI = 0;
        SBUF = dat;
        while(!B_TI);
        B_TI = 0;
}

void        UART2_TxByte(unsigned char dat)
{
        B_TI2 = 0;
        S2BUF = dat;
        while(!B_TI2);
        B_TI2 = 0;
}

void PrintString1(unsigned char *puts)                //发送一串字符串
{
    for (; *puts != 0;        puts++)  UART1_TxByte(*puts);         //遇到停止符0结束
}

void PrintString2(unsigned char *puts)                //发送一串字符串
{
    for (; *puts != 0;        puts++)  UART2_TxByte(*puts);         //遇到停止符0结束
}



void        uart1_init(void)
{
        PCON |= 0x80;                //UART0 Double Rate Enable
        SCON = 0x50;                //UART0 set as 10bit , UART0 RX enable
        TMOD &= ~(1<<6);                //Timer1 Set as Timer, 12T
        TMOD = (TMOD & ~0x30) | 0x20;        //Timer1 set as 8 bits auto relaod
        TH1 = T1_TimerReload;                //Load the timer
        TR1  = 1;
        ES  = 1;
        EA = 1;
}



/**********************************************/
void UART0_RCV (void) interrupt 4
{
        if(RI)
        {
                RI = 0;
                RX1_Buffer[uart1_wr] = SBUF;
                if(++uart1_wr >= BUF_LENTH)        uart1_wr = 0;
        }

        if(TI)
        {
                TI = 0;
                B_TI = 1;
        }
}


/**********************************************/

void        uart2_init(void)
{
        AUXR |=  (1 << 3);                //串口2波特率加倍
        S2CON  = (S2CON & 0x3f) | (1<<6);        //串口2模式1,8位UART,(2^S2SMOD / 32) * BRT溢出率
        S2CON |= 1 << 4;                //允许串2接收

        AUXR |=  1 << 4;        //baudrate use BRT
        BRT = BRT_Reload;

        IE2 |=  1;                        //允许串口2中断
}

/**********************************************/

void UART2_RCV (void) interrupt 8
{
        if(RI2)
        {
                CLR_RI2();
                RX2_Buffer[uart2_wr] = S2BUF;
                if(++uart2_wr >= BUF_LENTH)        uart2_wr = 0;
        }

        if(TI2)
        {
                CLR_TI2();
                B_TI2 = 1;
        }
}
/*********************做一次ADC转换 *******************/
unsigned int        adc10_start(unsigned char channel)        //channel = 0~7
{
        unsigned int        adc;
        unsigned char        i;

        ADC_RES = 0;
        ADC_RESL = 0;

        ADC_CONTR = (ADC_CONTR & 0xe0) | ADC_START | channel;

        i = 150;
        do{
                if(ADC_CONTR & ADC_FLAG)
                {
                        ADC_CONTR &= ~ADC_FLAG;
                        adc = (unsigned int)ADC_RES;
                        adc = (adc << 2) | (ADC_RESL & 3);
                        return        adc;
                }
        }while(--i);        //超时检测
        return        1024;
}


/*************** 用户定义参数 *****************************/

#define MAIN_Fosc                22118400L        //define main clock

#define Baudrate1                9600                //define the baudrate, 如果使用BRT做波特率发生器,则波特率跟串口2一样
                                                                        //12T mode: 600~115200 for 22.1184MHZ, 300~57600 for 11.0592MHZ

#define Baudrate2                9600                //define the baudrate2,
                                                                        //12T mode: 600~115200 for 22.1184MHZ, 300~57600 for 11.0592MHZ

#define                BUF_LENTH        10                //定义串口接收缓冲长度

/**********************************************************/

#include        <reg51.h>

sfr AUXR1 = 0xA2;
sfr        AUXR = 0x8E;

sfr S2CON = 0x9A;        //12C5A60S2双串口系列
sfr S2BUF = 0x9B;        //12C5A60S2双串口系列
sfr IE2   = 0xAF;        //STC12C5A60S2系列
sfr BRT   = 0x9C;

unsigned char         uart1_wr=0;                //写指针
unsigned char         uart1_rd=0;                //读指针
unsigned char         xdata RX1_Buffer[BUF_LENTH];
bit                B_TI;

unsigned char         uart2_wr=0;                //写指针
unsigned char         uart2_rd=0;                //读指针
unsigned char         xdata RX2_Buffer[BUF_LENTH];
bit                B_TI2;

sbit p00=P0^0;
sbit p01=P0^1;
sbit p02=P0^2;
sbit p03=P0^3;



sbit tx=P2^0;    //从机状态线
sbit rx=P2^1;    //从机状态线
/****************** 编译器自动生成,用户请勿修改 ************************************/

#define T1_TimerReload        (256 - MAIN_Fosc / 192 / Baudrate1)                        //Calculate the timer1 reload value        at 12T mode
#define BRT_Reload                (256 - MAIN_Fosc / 12 / 16 / Baudrate2)                //Calculate BRT reload value

#define        TimeOut1                (28800 / (unsigned long)Baudrate1 + 2)
#define        TimeOut2                (28800 / (unsigned long)Baudrate2 + 2)

#define        TI2                                (S2CON & 0x02) != 0
#define        RI2                                (S2CON & 0x01) != 0
#define        CLR_TI2()                S2CON &= ~0x02
#define        CLR_RI2()                S2CON &= ~0x01

/**********************************************************/
/*****************ADC配置*************************/
sfr P1ASF     = 0x9D;        //12C5A60AD/S2系列模拟输入(AD或LVD)选择
sfr ADC_CONTR = 0xBC;        //带AD系列
sfr ADC_RES   = 0xBD;        //带AD系列
sfr ADC_RESL  = 0xBE;        //带AD系列

//                                                                7       6      5       4         3      2    1    0   Reset Value
//sfr ADC_CONTR = 0xBC;                ADC_POWER SPEED1 SPEED0 ADC_FLAG ADC_START CHS2 CHS1 CHS0 0000,0000        //AD 转换控制寄存器
#define ADC_OFF()        ADC_CONTR = 0
#define ADC_ON                (1 << 7)
#define ADC_90T                (3 << 5)
#define ADC_180T        (2 << 5)
#define ADC_360T        (1 << 5)
#define ADC_540T        0
#define ADC_FLAG        (1 << 4)        //软件清0
#define ADC_START        (1 << 3)        //自动清0
#define ADC_CH0                0
#define ADC_CH1                1
#define ADC_CH2                2
#define ADC_CH3                3
#define ADC_CH4                4
#define ADC_CH5                5
#define ADC_CH6                6
#define ADC_CH7                7
unsigned int        adc10_start(unsigned char channel);        //channel = 0~7
double adc;                        
/********************PWM******************************/
#define                PCA_IDLE_DISABLE        0                //1: MCU在IDLE模式时禁止PCA工作。        0:  MCU在IDLE模式时允许PCA工作。
#define                PCA_SOURCE_SELECT        4                //选择PCA的基准时钟源。
                                                                                //0:系统时钟Fosc/12。
                                                                                //1:系统时钟Fosc/2。
                                                                                //2:定时器0的溢出。
                                                                                //3:ECI/P3.4脚的外部时钟输入(最大=Fosc/2)。
                                                                                //4:系统时钟Fosc。
                                                                                //5:系统时钟Fosc/4。
                                                                                //6:系统时钟Fosc/6。
                                                                                //7:系统时钟Fosc/8。
sfr CCON = 0xD8;
sfr CMOD = 0xD9;
//sfr CCAPM0 = 0xDA;        //PCA module 0 work mode
sfr CCAPM1 = 0xDB;        //PCA module 1 work mode
sfr CL     = 0xE9;        //PCA counter
//sfr CCAP0L = 0xEA;        //PCA模块0的捕捉/比较寄存器低8位。
sfr CCAP1L = 0xEB;        //PCA模块1的捕捉/比较寄存器低8位。
//sfr PCA_PWM0 = 0xF2;        //PCA模块0 PWM寄存器。
sfr PCA_PWM1 = 0xF3;        //PCA模块1 PWM寄存器。
sfr CH     = 0xF9;
//sfr CCAP0H = 0xFA;                //PCA模块0的捕捉/比较寄存器高8位。
sfr CCAP1H = 0xFB;                //PCA模块1的捕捉/比较寄存器高8位。

//sbit CCF0  = CCON^0;        //PCA 模块0中断标志,由硬件置位,必须由软件清0。
sbit CCF1  = CCON^1;        //PCA 模块1中断标志,由硬件置位,必须由软件清0。
sbit CR    = CCON^6;        //1: 允许PCA计数器计数,必须由软件清0。
sbit CF    = CCON^7;        //PCA计数器溢出(CH,CL由FFFFH变为0000H)标志。PCA计数器溢出后由硬件置位,必须由软件清0。

//#define                PWM0_NORMAL()        PCA_PWM0 = 0        //PWM0正常输出(默认)
//#define                PWM0_OUT_0()        PCA_PWM0 = 3        //PWM0一直输出0
#define                PWM1_NORMAL()        PCA_PWM1 = 0        //PWM1正常输出(默认)
#define                PWM1_OUT_0()        PCA_PWM1 = 3        //PWM1一直输出0


/******************** 本地函数声明 ***************/
void        uart1_init(void);
void        uart2_init(void);
void        UART1_TxByte(unsigned char dat);
void        UART2_TxByte(unsigned char dat);
void        PrintString1(unsigned char  *puts);
void        PrintString2(unsigned char  *puts);
unsigned int adc10_start(unsigned char channel);


void        main(void)
{
    unsigned char adc_i,adc_result[6],adc_s;
        unsigned int adc_j;
        /*******PWM***************/
        unsigned char pwm1,pwm0;
        unsigned int pwm_i;
        /*******ADC配置***********/
        double adc;
        P1ASF = 0XE7;//0xff;                        
        ADC_CONTR = ADC_360T | ADC_ON;//只选择p10 若是所以选ADC_ON;
        /********************PWM配置*****************************/
        //pwm0 = 0;                        // PWM0 初始值
        pwm1 = 0;                        // PWM1 初始值
        
        //CCAP0H = pwm0;                //set PWM wide
        //CCAPM0 = 0x42;                //0x42 Setup PCA module 0 in PWM mode
        
        CCAP1H = pwm1;                //set PWM wide
        CCAPM1 = 0x42;                //0x42 Setup PCA module 1 in PWM mode

        CMOD = (PCA_IDLE_DISABLE << 7) | (PCA_SOURCE_SELECT << 1);        //初始化PCA模式寄存器。
        CL = 0x00;                //clear PCA counter
        CH = 0x00;
        CR = 1;                        //Start PCA counter
        /********************************************************/

//        AUXR |=  0x01;                //串口1使用独立波特率发生器, 波特率跟串口2一样
//        AUXR1 |= (1<<4);        //将UART2从P1口切换到 RXD2--P1.2切换到P4.2   TXD2---P1.3切换到P4.3
        
        uart1_init();
        uart2_init();

        
        while(1)
        {
            //PWM
        pwm1+=2;
            CCAP1H = pwm1;                //set PWM wide
                //ADC
            //for(adc_i=0; adc_i<8; adc_i++){ //8路ADC  
                adc_j = adc10_start(0/*adc_i*/);
                adc=(double)adc_j/2.048;
                adc_result[0]='A';
                adc_result[1]=(int)adc/1000 + '0';
                adc_result[2]=(int)adc%1000/100 + '0';
                adc_result[3]='.';
                adc_result[4]=(int)adc%100/10 + '0';
                adc_result[5]=(int)adc%10 + '0';
            //}
                for(adc_s=0;adc_s<6;adc_s++){
                UART1_TxByte((char)adc_result[adc_s]);
                }
               
                if((uart1_rd != uart1_wr)&&RX1_Buffer[0]=='1')        //串口0转发
                {
                        UART2_TxByte(RX1_Buffer[uart1_rd]);
                        if(++uart1_rd >= BUF_LENTH)                uart1_rd = 0;
                }else if((uart1_rd !=10 /*uart1_wr*/)&&RX1_Buffer[0]=='L'&&tx==0)        //串口0转发
                {
                     rx=1;                                                           //从机开始执行,主机等待
                         if(RX1_Buffer[1]=='1'){
                 p00=1;}else  {p00=0;}
                         if(RX1_Buffer[2]=='1'){
                         p01=1;}else  {p01=0;}         
                         if(RX1_Buffer[3]=='1'){
                         p02=1;}else  {p02=0;}
                         if(RX1_Buffer[4]=='1'){
                         p03=1; }else  {p03=0;}
                    UART2_TxByte(RX1_Buffer[uart1_rd]);
                        if(++uart1_rd >= BUF_LENTH)                uart1_rd = 0;
                        rx=0;                                                           //从机已执行完
                }
               
                if(uart2_rd != uart2_wr)        //串口2转发
                {
                        //UART1_TxByte(RX2_Buffer[uart2_rd]);
                        if(++uart2_rd >= BUF_LENTH)                uart2_rd = 0;
                }  
               
        }
}

void        UART1_TxByte(unsigned char dat)
{
        B_TI = 0;
        SBUF = dat;
        while(!B_TI);
        B_TI = 0;
}

void        UART2_TxByte(unsigned char dat)
{
        B_TI2 = 0;
        S2BUF = dat;
        while(!B_TI2);
        B_TI2 = 0;
}

void PrintString1(unsigned char  *puts)                //发送一串字符串
{
    for (; *puts != 0;        puts++)  UART1_TxByte(*puts);         //遇到停止符0结束
}

void PrintString2(unsigned char  *puts)                //发送一串字符串
{
    for (; *puts != 0;        puts++)  UART2_TxByte(*puts);         //遇到停止符0结束
}


void        uart1_init(void)
{
        PCON |= 0x80;                //UART0 Double Rate Enable
        SCON = 0x50;                //UART0 set as 10bit , UART0 RX enable
        TMOD &= ~(1<<6);                //Timer1 Set as Timer, 12T
        TMOD = (TMOD & ~0x30) | 0x20;        //Timer1 set as 8 bits auto relaod
        TH1 = T1_TimerReload;                //Load the timer
        TR1  = 1;
        ES  = 1;
        EA = 1;
}



/**********************************************/
void UART0_RCV (void) interrupt 4
{
        if(RI)
        {
                RI = 0;
                RX1_Buffer[uart1_wr] = SBUF;
                if(++uart1_wr >= BUF_LENTH)        uart1_wr = 0;
        }

        if(TI)
        {
                TI = 0;
                B_TI = 1;
        }
}


/**********************************************/
void        uart2_init(void)
{
        AUXR |=  (1 << 3);                //串口2波特率加倍
        S2CON  = (S2CON & 0x3f) | (1<<6);        //串口2模式1,8位UART,(2^S2SMOD / 32) * BRT溢出率
        S2CON |= 1 << 4;                //允许串2接收

        AUXR |=  1 << 4;        //baudrate use BRT
        BRT = BRT_Reload;

        IE2 |=  1;                        //允许串口2中断
}

/**********************************************/         
void UART2_RCV (void) interrupt 8
{
        if(RI2)
        {
                CLR_RI2();
                RX2_Buffer[uart2_wr] = S2BUF;
                if(++uart2_wr >= BUF_LENTH)        uart2_wr = 0;
        }

        if(TI2)
        {
                CLR_TI2();
                B_TI2 = 1;
        }
}
/*********************做一次ADC转换 *******************/
unsigned int        adc10_start(unsigned char channel)        //channel = 0~7
{
        unsigned int        adc;
        unsigned char        i;

        ADC_RES = 0;
        ADC_RESL = 0;

        ADC_CONTR = (ADC_CONTR & 0xe0) | ADC_START | channel;

        i = 150;
        do{
                if(ADC_CONTR & ADC_FLAG)
                {
                        ADC_CONTR &= ~ADC_FLAG;
                        adc = (unsigned int)ADC_RES;
                        adc = (adc << 2) | (ADC_RESL & 3);
                        return        adc;
                }
        }while(--i);        //超时检测
        return        1024;
}

评分

参与人数 3黑币 +75 收起 理由
wpppmlah + 15 很给力!
高高的白杨 + 10 赞一个!
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶1 踩
回复

使用道具 举报

沙发
ID:884711 发表于 2022-8-9 05:58 | 只看该作者
看起来很高大上,学习一下,谢谢分享!
回复

使用道具 举报

板凳
ID:1006306 发表于 2022-8-9 08:50 | 只看该作者
有意思,目前51的速度不够,使用片上资源时候存在延迟;
如果这样玩,感觉两块单片机各干个的比较好,然后通过SPI通讯,速度快点:比如一个负责显示、一个负责ADC等
为什么呢,如要做使用一个高精度的24位ADC,一般的都比较贵,但是用TM7711这种一块钱的,速度又很慢,双核也能解决速度慢影响其他代码运行问题。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表