找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 751|回复: 0
打印 上一主题 下一主题
收起左侧

51单片机波形发生器程序与Proteus仿真图

[复制链接]
跳转到指定楼层
楼主
原理说明

一、电源电路

输入使用12V直流,直接给DAC0832数模转换器和LM358运放供电。然后经过7805稳压器稳压输出5V,给单片机系统,1602液晶部分供电。

二、 单片机最小系统部分


单片机最小系统部分,复位电路,电容C1实现上电自动复位。独立按键部分,实现频率加减调节,波形选择,步进值调节。U2为10K排阻,给单片机P0口提供上拉电阻。Y1为12MHz晶振,给单片机提供时钟。

三、1602液晶显示模块电路

1602液晶模块电路使用8位并口进行控制。

四、 数模转换电路


单片机P2口输出数字信号给DAC0832,DAC0832配合LM358运放,把P2口数字量转换成模拟量输出。

     其中电位器R9用于调节波形幅值。幅值最大值为5V。

  
五、   波形产生原理
单片机P2口输出按正弦等波形规律变化的数字量,例,正弦波一个周期取64个点。则单片机一次输出64个按正弦规律变化的数字量,经DA转换后就输出了按正弦规律变化的模拟量。即产生了正弦波。其他波形原理一样。

频率控制:单片机控制数字信号输出的快慢,可以控制波形频率。控制中,单片机采用定时器定时一个时间t,时间t到达后产生定时器溢出中断,中断里单片机控制输出一个数字量。64个中断后,即输出64个数字量,就输出了一个波形完整的周期。由于单片机速度有限,12M晶振,指令周期是1us。例如t=5us,则波形一个周期是5*64=320uS,则波形周期是3.125KHZ。

单片机通过设置定时器时间t来控制波形输出的频率。



更过内容,可参考网上其他文档说明:

https://wenku.baidu.com/view/b5deb046be1e650e52ea99e6.html

仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)


单片机源程序如下:
  1. #include<reg52.h>                                           //包含头文件
  2. #include<intrins.h>
  3. #define uchar unsigned char                           //宏定义
  4. #define uint unsigned int

  5. sbit s1=P1^0;                                                    //定义按键的接口
  6. sbit s2=P1^1;
  7. sbit s3=P1^2;
  8. sbit s4=P1^3;
  9.                                                                            
  10. sbit lcdrs=P1^6;                                           //液晶控制位
  11. sbit lcden=P1^7;


  12. char num,boxing,u;                                           //定义全局变量
  13. int pinlv=50,bujin=10,bujin1=10;
  14. uchar geshu=1;                                                        //两次DA输出数组中的个数
  15. uchar code table[]="0123456789";                //定义显示的数组
  16. uchar code table1[]="pinlv:boxing:";
  17. unsigned long int m;
  18. int n=0;
  19. float hc=0;
  20. int a,b,h,num1;
  21. uchar code sin[64]={
  22. 135,145,158,167,176,188,199,209,218,226,234,240,245,249,252,254,254,253,251,247,243,237,230,222,213,204,193,182,170,158,
  23. 146,133,121,108,96,84,72,61,50,41,32,24,17,11,7,3,1,0,0,2,5,9,14,20,28,36,45,55,66,78,90,102,114,128
  24. };                                          //正弦波取码
  25. uchar code juxing[64]={
  26. 255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,
  27. 255,255,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0
  28. };                                          //矩形波取码
  29. uchar code juchi[64]={
  30. 0,4,8,12,16,20,24,28,32,36,40,45,49,53,57,61,65,69,73,77,81,85,89,93,97,101,105,109,113,117,121,125,130,134,138,142,
  31. 146,150,154,158,162,166,170,174,178,182,186,190,194,198,202,206,210,215,219,223,227,231,235,239,243,247,251,255
  32. };                                          //锯齿波取码
  33. uchar code sanjiao[64]={
  34. 0,8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128,136,144,152,160,168,176,184,192,200,208,216,224,232,240,248,
  35. 248,240,232,224,216,208,200,192,184,176,168,160,152,144,136,128,120,112,104,96,88,80,72,64,56,48,40,32,24,16,8,0
  36. };                                                //三角波取码


  37. void delay(uint xms)         //延时函数
  38. {
  39.         int a,b;
  40.         for(a=xms;a>0;a--)
  41.                 for(b=110;b>0;b--);
  42. }
  43. void write_com(uchar com)                //写命令函数
  44. {
  45.         lcdrs=0;
  46.         P0=com;        
  47.         delay(1);
  48.         lcden=1;
  49.         delay(1);                                                                           
  50.         lcden=0;
  51. }

  52. void write_date(uchar date)                //写数据函数
  53. {
  54.         lcdrs=1;
  55.         P0=date;
  56.         delay(1);
  57.         lcden=1;
  58.         delay(1);
  59.         lcden=0;
  60. }

  61. void init_lcd()                                        //初始化函数
  62. {                                                                  
  63.         lcden=0;                                        //默认开始状态为关使能端,见时序图  
  64.         write_com(0x0f);
  65.         write_com(0x38);                        //显示模式设置,默认为0x38,不用变。
  66.         write_com(0x01);                        //显示清屏,将上次的内容清除,默认为0x01.
  67.         write_com(0x0c);                        //显示功能设置0x0f为开显示,显示光标,光标闪烁;0x0c为开显示,不显光标,光标不闪
  68.         write_com(0x06);                        //设置光标状态默认0x06,为读一个字符光标加1.
  69.         write_com(0x80);                        //设置初始化数据指针,是在读指令的操作里进行的
  70. }
  71. void initclock()                           //时钟初始化
  72. {
  73.         uint i;
  74.         TMOD=0x01;
  75.         TH0=a;
  76.         TL0=b;
  77.         EA=1;
  78.         ET0=1;
  79.         TR0=1;
  80.         for(i=6;i<13;i++)                           //显示初始化
  81.         {
  82.                 write_date(table1[i]);
  83.                 delay(2);
  84.         }
  85.         write_com(0x80+0x40);
  86.         for(i=0;i<6;i++)
  87.         {
  88.                 write_date(table1[i]);
  89.                 delay(2);
  90.         }
  91.         write_com(0x80+7);
  92.                 write_date('s');
  93.                 write_date('i');
  94.                 write_date('n');
  95.                 write_date(' ');
  96.                 write_date(' ');
  97.                 write_date(' ');
  98.                 write_date(' ');
  99.                 write_com(0x80+0x40+0xa);
  100.                 write_date(' ');
  101.                 write_date('1');
  102.                 write_date('0');
  103.                 write_date('H');
  104.                 delay(2);
  105.                 write_date('Z');
  106.                 delay(2);
  107. }
  108. void display()                                   //显示函数
  109. {
  110.         uchar wan,qian,bai,shi,ge;
  111.         uint a=0;
  112.         a=pinlv;
  113.         wan=a/10000;
  114.         qian=a/1000%10;
  115.         bai=a/100%10;
  116.         shi=a%100/10;
  117.         ge=a%100%10;
  118.         write_com(0x80+0x40+8);
  119.         write_date(table[wan]);
  120.         delay(2);
  121.         write_date(table[qian]);
  122.         delay(2);
  123.         write_date(table[bai]);
  124.         delay(2);
  125.         
  126.         write_date(table[shi]);
  127.         delay(2);
  128.         write_date(table[ge]);
  129.         delay(2);
  130.         write_date('H');
  131.         delay(2);
  132.         write_date('Z');
  133.         delay(2);
  134.         if(boxing==0)
  135.         {
  136.                 write_com(0x80+7);
  137.                 write_date('s');
  138.                 write_date('i');
  139.                 write_date('n');
  140.                 write_date(' ');
  141.                 write_date(' ');
  142.                 write_date(' ');
  143.                 write_date(' ');
  144.         }
  145.         if(boxing==1)
  146.         {
  147.                 write_com(0x80+7);
  148.                 write_date('j');
  149.                 write_date('u');
  150.                 write_date('x');
  151.                 write_date('i');
  152.                 write_date('n');
  153.                 write_date('g');
  154.         }

  155.         if(boxing==2)
  156.         {
  157.                 write_com(0x80+7);
  158.                 write_date('s');
  159.                 write_date('a');
  160.                 write_date('n');
  161.                 write_date('j');
  162.                 write_date('i');
  163.                 write_date('a');
  164.                 write_date('o');
  165.         }
  166.         if(boxing==3)
  167.         {
  168.                 write_com(0x80+7);
  169.                 write_date('j');
  170.                 write_date('u');
  171.                 write_date('c');
  172.                 write_date('h');
  173.                 write_date('i');
  174.                 write_date(' ');
  175.                 write_date(' ');
  176.         }

  177. }
  178. uchar keyscan()                                        //键盘检测函数
  179. {
  180. //        if(P1&0x0F==0x0F) return 0;
  181.         if(s1==0)
  182.         {         
  183.                 EA=0;
  184.                 delay(1);
  185.                 if(s1==0)
  186.                 {
  187.                         while(!s1);
  188.                         pinlv+=bujin;
  189.                         if(pinlv>30000)
  190.                         {
  191.                                 pinlv=30000;
  192.                         }
  193.                         geshu=pinlv/120+1;
  194.                         if(pinlv>960)
  195.                         {
  196.                                 geshu=8;
  197.                                 bujin=100;
  198.                                 bujin1=100;
  199.                         }
  200.                         if(pinlv>1080) geshu=10;
  201.                         if(pinlv>2000) geshu=11;

  202.                         hc=15625/pinlv;
  203.                         m=hc*geshu;
  204.                         m-=54;
  205.                         m/=15;
  206.                         
  207.                         EA=1;
  208.                         display();
  209.                         
  210.                 }
  211.         }
  212.         if(s2==0)
  213.         {         
  214.                
  215.                 delay(1);
  216.                 if(s2==0)
  217.                 {
  218.                         EA=0;        
  219.                         while(!s2);
  220.                         pinlv-=bujin;
  221.                         if(pinlv<0)
  222.                         {
  223.                                 pinlv=0;
  224.                         }
  225.                         geshu=pinlv/120+1;
  226.                         if(pinlv>960)
  227.                         {
  228.                                 geshu=8;
  229.                                 bujin=100;
  230.                                 bujin1=100;
  231.                         }
  232.                         if(pinlv>1080) geshu=10;
  233.                         if(pinlv>2000) geshu=11;
  234.                         if(pinlv<=3100)
  235.                                 {hc=15625/pinlv;
  236.                                 m=hc*geshu;
  237.                                 m-=54;
  238.                                 m/=15;}        
  239.                         else
  240.                         {
  241.                                 m=65276+(pinlv-480);
  242.                         }
  243.                         display();        
  244.                         EA=1;
  245.                 }
  246.         }
  247.         if(s3==0)
  248.         {         
  249.                 delay(1);
  250.                 if(s3==0)
  251.                 {
  252.                         EA=0;
  253.                         while(!s3);
  254.                         boxing++;
  255.                         if(boxing==4)
  256.                         {
  257.                                 boxing=0;
  258.                         }
  259.                         display();
  260.                         EA=1;
  261.                 }
  262.         }
  263.         return 1;        
  264. }
  265. void bujindisplay()
  266. {
  267.         uint bai,shi,ge;
  268.         bai=bujin1/100;
  269.         shi=bujin1%100/10;
  270.         ge=bujin1%100%10;
  271.         write_com(0x80+6);
  272.         write_date(table[bai]);
  273.         delay(1);
  274.         write_date(table[shi]);
  275.         delay(1);
  276.         write_date(table[ge]);
  277.         delay(1);
  278. }
  279. void bujinjiance()
  280. {
  281.         if(s4==0)
  282.         {
  283.                 delay(3);
  284.                 if(s4==0)
  285.                 {
  286.                          while(!s4);
  287.                          h++;
  288.                          if(h==1)
  289.                          {
  290.                                   write_com(0x01);
  291.                                  write_com(0x80);
  292.                                  write_date('b');delay(1);
  293.                                  write_date('u');delay(1);
  294.                                  write_date('j');delay(1);
  295.                                  write_date('i');delay(1);
  296.                                  write_date('n');delay(1);
  297.                                  write_date(':');delay(1);
  298.                                  bujin1=bujin;
  299.                                  
  300.                                  bujindisplay();
  301.                          }
  302.                          if(h==2)
  303.                          {
  304.                                  h=0;
  305.                                 bujin=bujin1;
  306.                                 
  307.                                 init_lcd();
  308.                                 initclock();
  309.                                 display();
  310.                          }
  311.                 }
  312.         }
  313.         if(h==1)
  314.         {
  315.                 if(s1==0)
  316.                 {
  317.                         delay(5);
  318.                         if(s1==0)
  319.                         {
  320.                                 while(!s1);
  321.                                 bujin1++;
  322.                                 if(bujin1==101)
  323.                                 {
  324.                                         bujin1=100;
  325.                                 }
  326.                                 bujindisplay();
  327.                         }
  328.                 }
  329.                 if(s2==0)
  330.                 {
  331.                         delay(5);
  332.                         if(s2==0)
  333.                         {
  334.                                 while(!s2);
  335.                                 bujin1--;
  336.                                 if(bujin1==0)
  337.                                 {
  338.                                         bujin1=100;
  339.                                 }
  340.                                 bujindisplay();
  341.                         }
  342.                 }
  343.         }
  344. }
  345. void main()                                         //主函数
  346. {        
  347.         
  348.         init_lcd();
  349.         hc=15625/pinlv;
  350.         m=hc*geshu;
  351.         m-=54;
  352.         m/=15;

  353.         initclock();
  354.         display();
  355. //        csda=0;
  356. //        wr=0;
  357.         while(1)
  358.         {
  359.                  
  360.                  switch(boxing)
  361.                  {
  362.                          case 0:        P2=sin[u];
  363.                                 break;
  364.                         case 1:         P2=juxing[u];
  365.                                 break;
  366.                         case 2:                 P2=sanjiao[u];
  367.                                 break;
  368.                         case 3:                 P2=juchi[u];
  369.                                 break;
  370.                  }
  371.                 u+=geshu;
  372.                 n=m;
  373.                 if(u>=64)
  374.                 {
  375.                         u=0;
  376.                 }
  377.                 while(n-->0);
  378.         }
  379. }
  380. void T0_time()interrupt 1                   //定时器
  381. {
  382.         
  383. //                TH0=a;
  384. //                TL0=b;
  385.                 TH0=0;
  386.                 TL0=0;
  387.                 if(h==0)
  388.                  {
  389.                           keyscan();
  390.                  }
  391.                  bujinjiance();
  392.                
  393.         

  394. }
复制代码

Keil5代码与Proteus8.13仿真下载:
信号发生器.7z (1.54 MB, 下载次数: 26)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表