找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 827|回复: 1
收起左侧

本项目使用Proteus8仿真51单片机控制器,使用人体红外传感器、声音传感器、光线传...

[复制链接]
ID:1094597 发表于 2023-9-26 15:38 | 显示全部楼层 |阅读模式

//系统参数初始化
void sys_parm_init(void)
{
        sys_ctrl.light=0;
        sys_ctrl.flag=0;
        sys_ctrl.sec=0;
        sys_ctrl.led_flag=0;
        LED=1;IREN=1;SOUND=1;
}

//系统数据获取
void sys_data_get(void)
{
        while(1)
        {
                //读取光线值
                sys_ctrl.light=pcf8591_read_adcvalue(0);
                sys_ctrl.light=cal_map(sys_ctrl.light,0,255,0,100);

                break;
        }
}

//系统功能控制
void sys_fun_ctrl(void)
{
        static u8 sta=0;

        //如果是白天,无论有人与否,LED关闭
        if(sys_ctrl.light>=70)
        {
                LED=1;
                sys_ctrl.flag=1;//标记白天
                TR1=0;//关闭定时器
                sys_ctrl.sec=0;
        }
        else
        {
                sys_ctrl.flag=0;//标记晚上       
        }
        //只有晚上时,灯才受光线、声音、人体红外控制
        if(sys_ctrl.flag==0)
        {
                //如果有人或者有声音,灯亮
                if(IREN==0 || SOUND==0)
                {
                        LED=0;
                        TR1=0;//关闭定时器
                        sys_ctrl.sec=0;
                        sys_ctrl.led_flag=1;
                }
                //如果没有人并且没有声音,灯亮一段时间熄灭
                if(IREN==1 && SOUND==1 && sys_ctrl.led_flag==1)
                {
                        TR1=1;//打开定时器       
                }
        }       
}

//应用控制系统
void appdemo_show(void)
{
        sys_parm_init();//系统参数初始化
        time1_init();//定时器1初始化,10ms

        while(1)
        {
                sys_data_get();//系统数据获取
                sys_fun_ctrl();//系统功能控制               
        }
}

//定时器1中断函数
void time1() interrupt 3
{       
        static u8 i=0;
       
        TH1=0xDC;
        TL1=0x00;
        i++;
        if(i>=100)//1S到
        {
                i=0;
                sys_ctrl.sec++;
                if(sys_ctrl.sec>=LED_COLSE_TIME)//时间到达,自动关闭LED
                {
                        LED=1;
                        TR1=0;//关闭定时器
                        sys_ctrl.sec=0;
                        sys_ctrl.led_flag=0;
                }
        }
}


1.png
回复

使用道具 举报

ID:432633 发表于 2023-10-20 13:01 | 显示全部楼层
完整程序和仿真图 上传下呀
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表