找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 16482|回复: 6
打印 上一主题 下一主题
收起左侧

搞定OV7670摄像头的数据采集问题程序 图像发白,模糊

[复制链接]
跳转到指定楼层
楼主
ID:82781 发表于 2015-6-14 01:21 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 xuwei 于 2015-6-14 01:23 编辑

摄像头快一个半月了,今天终于有了重大的进展,终于把图像搞出来了,可是图像是发白,模糊,我初步估计是数据lost ,所以还要继续努力!
加油吧


江苏无锡市第五项目部临时工
主程序

  1. /* Includes ------------------------------------------------------------------*/
  2. #include "main.h"
  3. /*记住自己做完头文件别忘了在左上角的品字形图标的C/C++里设置一下include选项奥,负责要出错的*/
  4. GPIO_InitTypeDef GPIO_InitStructure;

  5. int main(void)
  6. {
  7.   
  8.    uint32_t numb;
  9.    uint16_t date1,date2;
  10.    init_STM32(); //开机初始化




  11.   // my_send_byte(0x00); //发送一个字节
  12.    //my_send_byte(0x01); //发送一个字节
  13.    //my_send_byte(0x02); //发送一个字节
  14.    //my_send_byte(0x03); //发送一个字节

  15.   CLK_init_ON();//开启PA8的输出时钟8MHZ
  16. LCD9328_init();//9328初始化
  17.     SCCB_IIC_INIT();//IIC初始化函数
  18.   
  19.    delay1ms(20);

  20. init_OV7670_POWER_UP();//0V7670的初始化寄存器设置
  21.      delay1ms(10);
  22.       // wrOV7670Reg(0x40, 0xd0);   
  23.     write_ov7670_sccb_twi(0x17, 0x16);  
  24.     write_ov7670_sccb_twi(0x18, 0x04);  
  25.     write_ov7670_sccb_twi(0x19, 0x02);  
  26.     write_ov7670_sccb_twi(0x1A, 0x7B);
  27.     write_ov7670_sccb_twi(0x32, 0x40);  
  28.     write_ov7670_sccb_twi(0x03, 0x0a);
  29.   //  wrOV7670Reg(0x3E, 0x1A);
  30.    
  31.     write_ov7670_sccb_twi(0x70, 0x3A);
  32.     write_ov7670_sccb_twi(0x71, 0x35);
  33.     write_ov7670_sccb_twi(0x72, 0x11);  
  34.     write_ov7670_sccb_twi(0x73, 0xF9);
  35.     write_ov7670_sccb_twi(0xA2, 0x02);
  36.    delay1ms(10);





  37.   CLR_CS;
  38.   CLR_RS;
  39.   SET_RD;
  40.   CLR_WR;
  41.   GPIOE->ODR=0x0022;//GPIO_Write(GPIOE, reg);// //写入命令
  42.   SET_WR;
  43.   SET_RS;

  44. SET_FIFO_WE;
  45.    CLR_FIFO_WRST; //复位写地址为0
  46. delay1us(40);
  47. SET_FIFO_WRST;//初始化完毕,将从0地址开始
  48. CLR_FIFO_WE;//关闭写FIFO使能

  49.      CLR_FIFO_CS;//使能读
  50.   CLR_FIFO_RD;  //RCK时钟拉低
  51. CLR_FIFO_RRST; //度地址复位
  52. SET_FIFO_RD;//RCK拉高
  53. CLR_FIFO_RD;  //RCK拉低
  54. SET_FIFO_RD;  //RCK拉高
  55. CLR_FIFO_RD;
  56. SET_FIFO_RD;  //RCK拉高
  57. CLR_FIFO_RD;
  58. CLR_FIFO_RD;  
  59.     SET_FIFO_RD;  //RCK拉
  60.     delay1us(2);
  61. SET_FIFO_RRST; //拉高复位结束



  62. //SET_FIFO_CS;//关闭能读

  63.     //  SET_FIFO_WE;//开启写FIFO使能
  64.   
  65. my_EXTI_int();//外部中断初始化
  66.      while(1)
  67.     {


  68.      if(vsync_counter==2)
  69.     {
  70.        EXTI->IMR=0;
  71.       NVIC->ISER[0]=0;

  72.     for(numb=76800;numb;numb--)
  73.        {
  74.       CLR_FIFO_RD;
  75.       SET_FIFO_RD;
  76.                    date1=GPIOA->IDR;
  77.            date1<<=8;

  78.         CLR_FIFO_RD;
  79.       SET_FIFO_RD;

  80.       date2=GPIOA->IDR;

  81.       
  82.       GPIOE->ODR=((date1&0xff00)|(date2&0x00ff));
  83.       CLR_WR;
  84.                      SET_WR;


  85.         }
  86.          
  87.   
  88.             
  89.        CLR_FIFO_RRST;    //读指针复位
  90.     CLR_FIFO_RD;   
  91.     SET_FIFO_RD;
  92.     CLR_FIFO_RD;   
  93.     SET_FIFO_RD;
  94.        SET_FIFO_RRST;


  95.    CLR_FIFO_WRST;      
  96.        delay1us(2);   
  97.    SET_FIFO_WRST;
  98.    vsync_counter = 0;
  99.     EXTI->IMR=0x00000001;
  100.          NVIC->ISER[0]=0x00000040;
  101.    

  102.     }

  103.       }





  104. }
  105. void init_STM32()
  106. {
  107.    delay1ms(10000);
  108.           mysysinit();

  109.    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);//使能GPIOA时钟
  110.    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);//使能GPIOB时钟
  111.    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOC, ENABLE);//使能GPIOC时钟
  112.    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOD, ENABLE);//使能GPIOD时钟
  113.    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOE, ENABLE);//使能GPIOE时钟
  114.    RCC_APB2PeriphClockCmd(RCC_APB2Periph_AFIO,ENABLE);//使能AFIO复用时钟
  115.    RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1,ENABLE);//使能USART1时钟
  116.    RCC_APB1PeriphClockCmd(RCC_APB1Periph_I2C1,ENABLE); //使能IIC1时钟

  117.   /* LED8-11设置为指示灯,设置为输出推挽 */
  118.   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8 | GPIO_Pin_9|GPIO_Pin_10 | GPIO_Pin_11;
  119.   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  120.   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
  121.   GPIO_Init(GPIOD, &GPIO_InitStructure);

  122.    /*  设置IIC总线的 PB10 and PB11 in 开楼复用输出 mode */ //I2C的两条总线
  123.   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6 | GPIO_Pin_7;
  124.   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  125.   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_OD;
  126.   GPIO_Init(GPIOB, &GPIO_InitStructure);

  127. /* 设置PD口用于控制LCD的控制总线 */
  128.   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_12 | GPIO_Pin_13| GPIO_Pin_14| GPIO_Pin_15;
  129.   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  130.   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
  131.   GPIO_Init(GPIOD, &GPIO_InitStructure);
  132. /* 设置PE口用于控制LCD的为输出 */
  133.   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1| GPIO_Pin_2| GPIO_Pin_3| GPIO_Pin_4|
  134.                                 GPIO_Pin_5 | GPIO_Pin_6| GPIO_Pin_7| GPIO_Pin_8| GPIO_Pin_9|
  135.                                 GPIO_Pin_10 | GPIO_Pin_11| GPIO_Pin_12| GPIO_Pin_13| GPIO_Pin_14|
  136.                                 GPIO_Pin_15 ;
  137.   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  138.   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
  139.   GPIO_Init(GPIOE, &GPIO_InitStructure);
  140.    /* 设置PA口的低8位用于控制7670的数据输出 */
  141.   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1| GPIO_Pin_2| GPIO_Pin_3| GPIO_Pin_4|
  142.                                 GPIO_Pin_5 | GPIO_Pin_6| GPIO_Pin_7;
  143.   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  144.   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;
  145.   GPIO_Init(GPIOA, &GPIO_InitStructure);

  146.   /* 422B的操作设置,设置为输出推挽 */
  147.   GPIO_InitStructure.GPIO_Pin = GPIO_Pin_15 | GPIO_Pin_14|GPIO_Pin_13 | GPIO_Pin_12| GPIO_Pin_11;
  148.   GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  149.   GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
  150.   GPIO_Init(GPIOB, &GPIO_InitStructure);

  151.    /*串口初始化*/
  152.   //my_USART_init();

  153.          

  154. }



  155. void mysysinit()//系统初始化程序
  156. {
  157. ErrorStatus HSEStartUpStatus;//说明标志位
  158. RCC_DeInit();//所有外设全部缺省设置

  159. /* Enable HSE */
  160. RCC_HSEConfig(RCC_HSE_ON);
  161. /* Wait till HSE is ready and if Time out is reached exit */
  162. HSEStartUpStatus = RCC_WaitForHSEStartUp();
  163. if(HSEStartUpStatus == SUCCESS)//启动成功
  164. {
  165. /*这两条FLASH指令必须加上,不知为啥?不加上就运行几秒后出错,参照系统初始化*/
  166. /* Enable The Prefetch Buffer */
  167. FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);//FLASH缓存开启
  168. /* Configure the Latency cycle: Set 2 Latency cycles */
  169.   FLASH_SetLatency(FLASH_Latency_2);  //设置FLASH这些位表示SYSCLK(系统时钟)周期与闪存访问时间的比例,为010:两个等待状态,当 48MHz < SYSCLK ≤ 72MHz
  170. /* Set PLL clock output to 72MHz using HSE (8MHz) as entry clock */
  171. RCC_PLLConfig(RCC_PLLSource_HSE_Div1, RCC_PLLMul_9);//外部时钟为8M,PLL的输入时钟=8MHZ,倍频系数9,

  172. /* Configure HCLK such as HCLK = SYSCLK */
  173. RCC_HCLKConfig(RCC_SYSCLK_Div1);//设置了啦AHB分频器的分频系数=1,即HCLK=SYSCLK=72MHZ
  174. /* Configure PCLK1 such as PCLK1 = HCLK/2 */
  175. RCC_PCLK1Config(RCC_HCLK_Div2);//设置了APB1外设的时钟频率最大是36M这里是APB1的分频器设为2,PCLK1=HCLK/2=72/2=36MHZ正好是最大值
  176. /* Configure PCLK2 such as PCLK2 = HCLK */
  177. RCC_PCLK2Config(RCC_HCLK_Div1);//设置PLCK2=HCLK=72MHZ,的APB2分频器=1
  178. /* Select the PLL as system clock source */
  179. RCC_SYSCLKConfig(RCC_SYSCLKSource_PLLCLK);//设置了SYSCLK的提供者为PLL,频率由上面算出=72MHZ
  180. /* disable PLL Ready interrupt */
  181. RCC_ITConfig(RCC_IT_PLLRDY, DISABLE);//PLL中断关闭
  182. /* disable PLL Ready interrupt */
  183. RCC_ITConfig(RCC_IT_HSERDY,DISABLE);//HSE中断关闭
  184. /* disable PLL Ready interrupt */
  185. RCC_ITConfig(RCC_IT_HSIRDY, DISABLE); //HSI中断关闭
  186. /* disable PLL Ready interrupt */
  187. RCC_ITConfig(RCC_IT_LSERDY, DISABLE); //LSE中断关闭
  188. /* disable PLL Ready interrupt */
  189. RCC_ITConfig(RCC_IT_LSIRDY, DISABLE); //LSI中断关闭

  190. /* PLL clock divided by 1.5 used as USB clock source */
  191. RCC_USBCLKConfig(RCC_USBCLKSource_PLLCLK_1Div5);//设置USB的时钟为=72、1.5=48mhz
  192. /* Configure ADCCLK such as ADCCLK = PCLK2/2 */
  193. RCC_ADCCLKConfig(RCC_PCLK2_Div2);//设置ADC时钟=PCLK2/2= 36MHZ
  194. /* disable the LSE */
  195. RCC_LSEConfig(RCC_LSE_OFF);//外部低速晶振关闭

  196. /*DISable the RTC clock */
  197. RCC_RTCCLKCmd(DISABLE);
  198. /* DISable the Clock Security System */
  199. RCC_ClockSecuritySystemCmd(DISABLE);
  200. /* Enable the PLL */
  201. RCC_PLLCmd(ENABLE);//使能PLL







  202. /* PLL ans system clock config */
  203. }
  204. else
  205. {
  206. /* Add here some code to deal with this error */
  207. }





  208. }

  209. 中断程序

  210. #include "stm32f10x_it.h"
  211. uint8_t vsync_counter=0;

  212. void EXTI0_IRQHandler(void)//场中断 PC0
  213. { EXTI->PR|=0x00000001;
  214. // EXTI->IMR=0;
  215.    vsync_counter++;
  216.      if(2==vsync_counter)
  217.     {
  218.        CLR_FIFO_CS;//使能读
  219.        CLR_FIFO_WE;//禁止写入FIFO数据
  220.       
  221.       
  222.       
  223.   
  224.     }
  225.     else
  226.     {SET_FIFO_WE;//开启写FIFO使能
  227.     }


  228.    //EXTI->IMR=0x00000001;

  229. }

  230. 7670程序

  231. void init_OV7670_POWER_UP()//0V7670的初始化寄存器设置
  232. {
  233. uint16_t  i;


  234. for(i=0;i<176;i++)
  235. {
  236.   write_ov7670_sccb_twi(change_reg[i][0],change_reg[i][1]);
  237.   
  238. }


  239. /*PA8的MCO时钟输出为关闭,切换到普通IO*/
  240. void CLK_init_OFF(void)
  241. {

  242.     GPIO_InitTypeDef GPIO_InitStructure;
  243.     //时钟的输出txz
  244.     RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);
  245.     GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8;
  246.     GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  247.     GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
  248.     GPIO_Init(GPIOA, &GPIO_InitStructure);
  249.     RCC->CFGR&=0xf8ffffff;//RCC_MCOConfig(RCC_MCO_HSE  );//hsi
  250. }
  251. void write_ov7670_sccb_twi(uint8_t add,uint8_t date)
  252. {  uint8_t a;

  253. delay1ms(500);
  254.    I2C1->CR1=1;//开启IIC

  255. //my_send_byte(0x80);
  256.   I2C1->CR1=0x0101;//发送起始位

  257.    while(1)
  258.   { a=I2C1->SR1;
  259.     a=(a&0x0001);
  260.   delay1ms(1);
  261. //my_send_byte(0x11);
  262.    if(a==0x0001)
  263.    break;
  264.   
  265.       
  266.   }//EV5是向下

  267.   I2C1->DR=0x42;//写入地址
  268.   delay1ms(10);

  269.   while(1)
  270.   { a=I2C1->SR1;
  271.     a=(a&0x0002);
  272.   delay1ms(1);
  273. // my_send_byte(0x22);
  274.    if(a==0x0002)
  275.    break;
  276.   
  277.       
  278.   }//EV6ADDR发送?是向下,否等待
  279. a=I2C1->SR1;
  280.   a=I2C1->SR2;
  281. //my_send_byte((I2C1->SR1));
  282. //my_send_byte((I2C1->SR2)); //必须读SR1

  283.   I2C1->DR=add;//写入寄存地址

  284.    while(1)
  285.   { a=I2C1->SR1;
  286.     a=(a&0x0080);
  287.   delay1ms(1);
  288. //my_send_byte(0x33);
  289.    if(a==0x0080)
  290.    break;
  291.   
  292.       
  293.   }//EV8寄存器为空?是向下,否等待
  294.   a=I2C1->SR1;
  295.   a=I2C1->SR2;
  296. //my_send_byte((I2C1->SR1));
  297. //my_send_byte((I2C1->SR2)); //必须读SR1

  298.   I2C1->DR=date;//写数据

  299.    while(1)
  300.   { a=I2C1->SR1;
  301.     a=(a&0x0080);
  302.   delay1ms(1);
  303. // my_send_byte(0x44);
  304.    if(a==0x0080)
  305.    break;
  306.   
  307.       
  308.   }//EV8寄存器为空?是向下,否等待

  309.     delay1ms(1);
  310.    while(1)
  311.   {a=I2C1->SR1;
  312.    a=(a&0x0084);
  313.     delay1ms(1);
  314. // my_send_byte(0x55);
  315.    if(a==0x0084)
  316.    break;
  317.   
  318.       
  319.   }//EV8-2发送完成,寄存器为空?是向下,否等待


  320.    
  321.   
  322. I2C1->CR1=0x0201;//STOP



  323. }

  324. /******************************

  325. SCCB底层操作函数利用硬件模块IIC读取一个指定地址的数据

  326. 返回值:为读取的数据
  327. 形参:为将要读取的寄存器地址

  328. *****************************8*/
  329. uint8_t SCCB_IIC_READ(uint8_t add)//读一个字节数据
  330. {
  331. uint8_t date;
  332. uint16_t a;

  333. delay1ms(3000);
  334.   I2C1->CR1=1;//开启IIC

  335. //my_send_byte(0x80);
  336.   I2C1->CR1=0x0101;//发送起始位

  337.    while(1)
  338.   { a=I2C1->SR1;
  339.     a=(a&0x0001);
  340.   delay1ms(2);
  341. // my_send_byte(0x11);
  342.    if(a==0x0001)
  343.    break;
  344.   
  345.       
  346.   }//EV5是向下

  347.   I2C1->DR=0x42;//写入地址


  348.   delay1ms(10);

  349.   while(1)
  350.   { a=I2C1->SR1;
  351.     a=(a&0x0002);
  352.   delay1ms(1);
  353. // my_send_byte(0x22);
  354.    if(a==0x0002)
  355.    break;
  356.   
  357.       
  358.   }//EV6ADDR发送?是向下,否等待

  359.   a=I2C1->SR1;
  360.   a=I2C1->SR2;
  361. //my_send_byte((I2C1->SR1));
  362. //my_send_byte((I2C1->SR2)); //必须读SR1

  363.   I2C1->DR=add;//写入寄存地址

  364.    while(1)
  365.   { a=I2C1->SR1;
  366.     a=(a&0x0080);
  367.   delay1ms(1);
  368. // my_send_byte(0x33);
  369.    if(a==0x0080)
  370.    break;
  371.   
  372.       
  373.   }//EV8寄存器为空?是向下,否等待


  374.   delay1ms(1);
  375.    while(1)
  376.   {a=I2C1->SR1;
  377.    a=(a&0x0084);
  378.     delay1ms(1);
  379. // my_send_byte(0x44);
  380.    if(a==0x0084)
  381.    break;
  382.   
  383.       
  384.   }//EV8-2发送完成,寄存器为空?是向下,否等待


  385.    
  386.   
  387. I2C1->CR1=0x0201;//STOP
  388.   //delay(1000000);
  389. ///delay(10000);
  390.   delay1ms(10);
  391.   //my_send_byte(0x88);

  392. I2C1->CR1=0x0101;//发送起始位

  393.    while(1)
  394.   { a=I2C1->SR1;
  395.     a=(a&0x0001);
  396. delay1ms(2);
  397. //my_send_byte(0x55);
  398.    if(a==0x0001)
  399.    break;
  400.   
  401.       
  402.   }//EV5是向下

  403.   I2C1->DR=0x43;//写入地址


  404.   delay1ms(1);

  405.   while(1)
  406.   { a=I2C1->SR1;
  407.     a=(a&0x0002);
  408.   delay1ms(1);
  409. // my_send_byte(0x66);
  410.    if(a==0x0002)
  411.    break;
  412.   
  413.       
  414.   }//EV6ADDR发送?是向下,否等待

  415.   a=I2C1->SR1;
  416.   a=I2C1->SR2;
  417.    delay1ms(1);
  418.    // my_send_byte(I2C1->SR1);
  419.   // my_send_byte(I2C1->SR2);

  420.    
  421.   while(1)
  422.   { a=I2C1->SR1;
  423.     a=(a&0x0040);
  424.   delay1ms(1);
  425. //my_send_byte(0x77);
  426.    if(a==0x0040)
  427.    break;
  428.   
  429.       
  430.   }//EV7ARXEN发送?是向下,否等待
  431.   date=I2C1->DR;

  432.   I2C1->CR1=0x0201;//STOP

  433.    return date;

  434. }
  435. void SCCB_IIC_INIT()//IIC初始化函数
  436. {
  437.    delay1ms(5000);
  438.      I2C1->CR1=0;//关闭I2C
  439.   I2C1->CR2=2;//IIC的时钟源设为8MHZ,但是这不是总线上的时钟,他是由CCR1,分频后作为SCL时钟 的,见手册,
  440.      I2C1->CCR=400;//标准IIC模式,对IIC时钟源的分频系数是40,用来产生10KHZ的SCL时钟
  441.   I2C1->TRISE=3;//SCL的上升沿时间宽度为300NS
  442.   I2C1->CR1=1;//开启IIC;

  443. }
  444. void delay1ms(uint32_t a)
  445. {
  446. for(a=23980;a;a--);

  447. }

  448. 9328程序

  449. #include "ili9328.h"
  450. uint8_t tab[]={/*--  文字:  王  --*/
  451. /*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/
  452. 0x00,0x00,0x7F,0xFC,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x3F,0xF8,
  453. 0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0xFF,0xFE,0x00,0x00};

  454. void write_LCD_CHINA_WRODS()
  455. {
  456. uint8_t i,val,n;



  457. wr_cmd_date(0x0050,0);//行开始坐标
  458.   wr_cmd_date(0x0051,15); //行结束坐标
  459.   wr_cmd_date(0x0052,0);//场开始地址坐标
  460.   wr_cmd_date(0x0053,15); //场结束地址坐标
  461.   wr_cmd_date(0x0020,0); //行地址计数器
  462.   wr_cmd_date(0x0021,0);//场地址计数器

  463.   for(n=0;n<32;n++)
  464. {
  465.   for(i=0;i<8;i++)
  466.   {
  467.      val=tab[n];
  468.      if(((val<<i)&0x80)==0x80)
  469.      {
  470.        wr_cmd_date(0x22,0x0000 );//bloke
  471.      }
  472.      else
  473.      {
  474.    
  475.          wr_cmd_date(0x22,0xf800 );//red
  476.    
  477.      }
  478.   
  479.   
  480.   
  481.   }

  482.   
  483.    
  484. }

  485.   
  486.   


  487. }

  488. void lcm_coordinate(uint16_t x1,uint16_t y1 )//扫描地 写一个像素
  489. {

  490.   wr_cmd_date(0x0050,x1);//行开始坐标
  491.   wr_cmd_date(0x0051,x1); //行结束坐标
  492.   wr_cmd_date(0x0052,y1);//场开始地址坐标
  493.   wr_cmd_date(0x0053,y1); //场结束地址坐标
  494.   wr_cmd_date(0x0020,x1); //行地址计数器
  495.   wr_cmd_date(0x0021,y1);//场地址计数器

  496. }
  497. void LCD9328_init()//横屏初始
  498. {
  499.    uint32_t a;
  500. delay1us(150000);
  501.   wr_cmd_date(0x0001,0x0100); //s1-s720
  502.   wr_cmd_date(0x0002,0x0700);
  503.   wr_cmd_date(0x0003,0x1038);//默认0x1030,现在设为0X1038.1018
  504.    wr_cmd_date(0x0004,0x0000);
  505.   wr_cmd_date(0x0008,0x0404);
  506.   wr_cmd_date(0x0009,0x0000);
  507.   wr_cmd_date(0x000A,0x0000);
  508.   wr_cmd_date(0x000C,0x0000);
  509.   wr_cmd_date(0x000D,0x0000);
  510. wr_cmd_date(0x000F,0x0000);
  511. //power on sequence VGHVGL
  512.   wr_cmd_date(0x0010,0x0080);  
  513.   wr_cmd_date(0x0011,0x0007);
  514.   wr_cmd_date(0x0012,0x0000);
  515.   wr_cmd_date(0x0013,0x0000);
  516. //vgh
  517.   wr_cmd_date(0x0010,0x1590);  
  518.   wr_cmd_date(0x0011,0x0227);
  519. delay1us(800000);
  520. //vregiout
  521.   wr_cmd_date(0x0012,0x001F); //0x001b
  522. delay1us(800000);
  523. //vom amplitude
  524.   wr_cmd_date(0x0013,0x1500);
  525. delay1us(800000);
  526. //vom H
  527.   wr_cmd_date(0x0029,0x0022);
  528.   wr_cmd_date(0x002B,0x000F);

  529. //gamma
  530.   wr_cmd_date(0x0030,0x0004);
  531.   wr_cmd_date(0x0031,0x0307);
  532.   wr_cmd_date(0x0032,0x0002);// 0006
  533.   wr_cmd_date(0x0035,0x0206);
  534.   wr_cmd_date(0x0036,0x0408);
  535.   wr_cmd_date(0x0037,0x0507);
  536.   wr_cmd_date(0x0038,0x0204);//0200
  537.   wr_cmd_date(0x0039,0x0707);
  538.   wr_cmd_date(0x003C,0x0405);// 0504
  539.   wr_cmd_date(0x003D,0x0F02);
  540. //ram
  541.   /*wr_cmd_date(0x0050,0x0000);
  542.   wr_cmd_date(0x0051,0x00B0);
  543.   wr_cmd_date(0x0052,0x0000);
  544.   wr_cmd_date(0x0053,0x00DC);*/
  545.    wr_cmd_date(0x0050,0x0000);
  546.   wr_cmd_date(0x0051,0x00EF);
  547.   wr_cmd_date(0x0052,0x0000);
  548.   wr_cmd_date(0x0053,0x0013F);
  549.   wr_cmd_date(0x0060,0xA700);
  550.   wr_cmd_date(0x0061,0x0001);
  551.   wr_cmd_date(0x006A,0x0000);
  552. //
  553.   wr_cmd_date(0x0080,0x0000);
  554.   wr_cmd_date(0x0081,0x0000);
  555.   wr_cmd_date(0x0082,0x0000);
  556.   wr_cmd_date(0x0083,0x0000);
  557.   wr_cmd_date(0x0084,0x0000);
  558.   wr_cmd_date(0x0085,0x0000);
  559. //
  560.   wr_cmd_date(0x0090,0x0010);
  561.   wr_cmd_date(0x0093,0x0003);
  562.   wr_cmd_date(0x0095,0x0110);
  563.   wr_cmd_date(0x0097,0x0000);
  564.   wr_cmd_date(0x0098,0x0000);
  565.   wr_cmd_date(0x0007,0x0173);
  566.    for(a=76800;a;a--)
  567.    {
  568.   wr_cmd_date(0x22,0xF800);//红色刷屏
  569.   }
  570. }


  571. void wr_cmd_date(uint16_t reg,uint16_t date)
  572. {

  573.   GPIOE->CRL=0x33333333; //数据口转换成输出
  574.   GPIOE->CRH=0x33333333; //数据口转换成输出

  575.   CLR_CS;
  576.   CLR_RS;
  577.   SET_RD;
  578.   CLR_WR;
  579.   GPIOE->ODR=reg;//GPIO_Write(GPIOE, reg);// //写入命令
  580.   SET_WR;
  581.   SET_RS;
  582.   //delay_1us(1);
  583.   CLR_WR;
  584. GPIOE->ODR=date;//GPIO_Write(GPIOE, date); // //写入命令
  585.   SET_WR;
  586.   SET_CS;

  587. }
  588. uint16_t read_LCD_register(uint16_t reg)
  589. {
  590.    uint16_t date;
  591. GPIOE->CRL=0x33333333; //数据口转换成输出
  592. GPIOE->CRH=0x33333333; //数据口转换成输出
  593.    CLR_CS;
  594.    //delay_1us(1);
  595.    CLR_RS;
  596.    //delay_1us(1);
  597.    SET_RD;
  598.    CLR_WR;
  599.    GPIO_Write(GPIOE, reg); //写入命令
  600.    SET_WR;
  601.    //delay_1us(1);
  602.    CLR_RD;
  603.    GPIOE->CRL=0x88888888; //数据口转换成输入
  604.    GPIOE->CRH=0x88888888; //数据口转换成输入
  605.   // for(n=200;n;n--);
  606.    date=(GPIOE->IDR);//读出数据
  607.    SET_RD;
  608.    SET_CS;
  609.   

  610.   return (date);


  611. }
  612. void delay1us(uint32_t time)
  613. {   uint32_t b,c;
  614.         for(c=time;c;c--) //定时=time*3*333.6=time*1000.8ns
  615.          {     
  616.    for(b=8;b;b--); //8*13.9*3= 333.6     
  617.          }



  618. }
  619. 终端配置

  620. #include "EXTI.h"
  621. /***********************
  622. 外部中断初始化
  623. **************************/
  624. void my_EXTI_int()
  625. {
  626. /*  PC0 被我设置成输入模式,上拉、下拉 */
  627. GPIOC->CRL=0x00000008;

  628. /*配置PC0作为中断输入线*/
  629. AFIO->EXTICR[0]=2;

  630. /*设置开启外部中断线0中断请求,其他的都关闭*/
  631. EXTI->IMR=0x00000001;

  632. /*PC0开启上升沿触发*/
  633. EXTI->RTSR=0x00000001;


  634.    /*设置PC0中断的优先级为5*/
  635. NVIC->IP[6]=5;//VSYNC
  636. /*开启号中断即EXTI0,关闭其他所有外部的中断*/
  637. NVIC->ISER[0]=0x00000040; //开启PC0中断EXTI0 VSYNC中断






  638. }

复制代码

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏2 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:82781 发表于 2015-6-14 01:21 | 只看该作者
本帖最后由 xuwei 于 2015-6-14 01:25 编辑

  呵呵就在刚才我又解决一个摄像头问体,就是以前出的图像有是有,但是有许多白色的线,想干扰一样,现在我的做法是提高了摄像头的输入XCLK频率到18MHZ,无意中竟然破天荒的解决了这个问题哈哈,现在的图像稳定,只是很模糊,所以找到什么导致模糊的原因就搞定了!哈哈哈,原来是8MHZ的速度,可能是写的过程中太慢,导致采集错误造成的吧!哈哈,高兴啊,虽然没有完全解决,但是只要向前进我就高兴啊,呼呼,继续搞图像,图像的颜色也是对的,轮廓也正常,说明了我的摄像头输出的行场同步和TFT彩色液晶是吻合的,没有问题的,关键现在问题锁定到了显存的读写时序和像素的数据正确读,像素应改是大部分对,肯定是某个地方的数据要吗丢失,要吗引入不正确的数据位,这是个头疼的问题,现在的现象是白的地方发绿,这个RGB信号啊!愁人,加油吧!
     这一个月来一直在搞摄像头,因为我决的摄像头对我来说非常重要。知道现在也没搞好,总结来看,摄像头的底层通信驱动早已轻车熟路,关键是速度匹配问题。因此我昨天买了显存,准备数据缓冲,可是昨天腾经理说要我去无锡工地,我问了爸爸,爸爸说可以去,我无话可说,只有应承,所以很遗憾摄像头的驱动要放一放,因为一方面SOP封装转DIP是头疼的,另一个即将要去一个陌生的遥远的地方,心下很是忐忑,有点飘!感觉,不知道未来在哪里,低头走我的小路吧!今天玩了一天游戏,没有心情干任何事,为什么当我要离开一个地方时,心情总是不爽。但是我会继续。。。人年少就是要学习,不断地充实自己,不断地积累,就算以后一事无成,我也不后悔,因为我没去挥霍这宝贵的青春,而是学了自己喜欢的东西!我总是相信知识是相通的,我不会放弃的,我想这就是我的理想所在吧!
回复

使用道具 举报

板凳
ID:82781 发表于 2015-6-14 01:22 | 只看该作者
       搞图像采集搞了没有2个月也差不多了,我买32的最大的目的就是做摄像头,想当年,和老海商量盗墓的事,我那时候就幻想什么时候能做个机器人小车带摄像头的就好了,我们遥控小车进去抓宝贝,今天虽然这个梦想没有实现,但是我有掌握了一项核心的东西,图像采集,其实现在看来这个图像传感器并不复杂,主要是加了一块缓存器,使她的速度一下子成了一个无关项,不论你的速度有多慢,都可以采集图像,32的主频运行在72MHZ下我大概测试的帧率在8-15之间,其实如果排出硬件的问题我完全可以更早的解决,只是期间我不懂买了不好的原件,导致我的实验一直不成功,最近的一次是图像模糊,现在终于大白了,原来是显存问题,导致的,我说啊我反反复复的检查测试,检查测试,数据链路根本没问题,就是很模糊,问了很多人,又说时序不对的,又说数据比特错误,总之我是昏了头,直到我买了这一块,才解决了,第一次看到我自己的图像,真是高兴啊,这也就对于32来说也值了,我写了多少次啊,不知道,大概得有56百次,为此我还担心32的FLASH是不是受得了,几乎每天都要写个78十次,我有没有LINK仿真器,只有看现象,所以还是不易的,多少个日日夜夜,看到图像啥也没有了,兴趣,也许这就是我的动力吧!加油吧!不骄不躁,继续加油,以后就可以给他加上个无线,和把它存储,就成了简易说的录相机了!呵呵,上程序:由于程序太大,只上主函数
2011年10月10日
于江苏无锡第五项目部工棚内
王均伟
图像采集程序
硬件接口
CPU:contex-m3内核
主频:72MHZ
数据总线:PA0-PA7对应D0-D7
控制总线:OE:PB15/RRST:PB14/WRST:PB13/RCK:PB12/WE:PB11/VSYNC:PC0
SCCB总线:SCL:PB6/SDA:PB7,硬件实现

*/


/* Includes ------------------------------------------------------------------*/
#include "main.h"
/*记住自己做完头文件别忘了在左上角的品字形图标的C/C++里设置一下include选项奥,负责要出错的*/
GPIO_InitTypeDef GPIO_InitStructure;

int main(void)
{
   
   uint32_t numb;
   uint16_t date1,date2;
   init_STM32(); //开机初始化


  // my_send_byte(0x00); //发送一个字节
   //my_send_byte(0x01); //发送一个字节
   //my_send_byte(0x02); //发送一个字节
   //my_send_byte(0x03); //发送一个字节

  
LCD9328_init();//9328初始化
SCCB_IIC_INIT();//IIC初始化函数
   delay1ms(20);
init_OV7670_POWER_UP();//0V7670的初始化寄存器设置  
  CLR_CS;
  CLR_RS;
  SET_RD;
  CLR_WR;
  GPIOE->ODR=0x0022;//GPIO_Write(GPIOE, reg);// //写入命令
  SET_WR;
  SET_RS;
  SET_FIFO_WE;
  CLR_FIFO_OE;

/*


  CLR_FIFO_RCLK;  //RCK时钟拉低
CLR_FIFO_RRST; //度地址复位
SET_FIFO_RCLK;//RCK拉高
CLR_FIFO_RCLK;  //RCK拉低
SET_FIFO_RCLK;  //RCK拉高
CLR_FIFO_RCLK;
    delay1us(100);
SET_FIFO_RRST; //拉高复位结束
     
  
my_EXTI_int();//外部中断初始化
  while(1)
{
  
    if(vsync==2)
    { EXTI->IMR=0;
          CLR_FIFO_RRST;    //读指针复位
    CLR_FIFO_RCLK;    //至少需要一个时钟周期的跳变才能复位
  
    SET_FIFO_RCLK;
   
    CLR_FIFO_RCLK;;
      //至少需要一个时钟周期的跳变才能复位
       SET_FIFO_RRST;
    SET_FIFO_RCLK;
        // NVIC->ISER[0]=0;
      
    for(numb=76800;numb;numb--)
       {
      CLR_FIFO_RCLK;
     date1=GPIOA->IDR;
      SET_FIFO_RCLK;
                  
           date1<<=8;
        CLR_FIFO_RCLK;
        date2=GPIOA->IDR;
      SET_FIFO_RCLK;
     
   
        
      GPIOE->ODR=(date1&0xff00)|(date2&0x00ff);
      CLR_WR;
                     SET_WR;
       }
   /* while(1);
   //SET_FIFO_WE;   
   CLR_FIFO_WRST;      
       delay1us(150);   
   SET_FIFO_WRST;
     //CLR_FIFO_CS;
       CLR_FIFO_RRST;    //读指针复位
    CLR_FIFO_RCLK;    //至少需要一个时钟周期的跳变才能复位
  
    SET_FIFO_RCLK;
   
    CLR_FIFO_RCLK;;
      //至少需要一个时钟周期的跳变才能复位
    SET_FIFO_RCLK;
    delay1us(100);
       SET_FIFO_RRST;
   */
   EXTI->IMR=0x00000001;
        // NVIC->ISER[0]=0x00000040;
   vsync= 0;
  
  
  
  
  
  
  
   }
        

           
      
   
  
  }


}
void init_STM32()
{
   delay1ms(10000);
          mysysinit();
   RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);//使能GPIOA时钟
   RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);//使能GPIOB时钟
   RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOC, ENABLE);//使能GPIOC时钟
   RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOD, ENABLE);//使能GPIOD时钟
   RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOE, ENABLE);//使能GPIOE时钟
   RCC_APB2PeriphClockCmd(RCC_APB2Periph_AFIO,ENABLE);//使能AFIO复用时钟
   RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1,ENABLE);//使能USART1时钟
   RCC_APB1PeriphClockCmd(RCC_APB1Periph_I2C1,ENABLE); //使能IIC1时钟
  /* LED8-11设置为指示灯,设置为输出推挽 */
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8 | GPIO_Pin_9|GPIO_Pin_10 | GPIO_Pin_11;
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
  GPIO_Init(GPIOD, &GPIO_InitStructure);
   /*  设置IIC总线的 PB10 and PB11 in 开楼复用输出 mode */ //I2C的两条总线
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6 | GPIO_Pin_7;
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_OD;
  GPIO_Init(GPIOB, &GPIO_InitStructure);
/* 设置PD口用于控制LCD的控制总线 */
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_12 | GPIO_Pin_13| GPIO_Pin_14| GPIO_Pin_15;
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
  GPIO_Init(GPIOD, &GPIO_InitStructure);
/* 设置PE口用于控制LCD的为输出 */
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1| GPIO_Pin_2| GPIO_Pin_3| GPIO_Pin_4|
                                GPIO_Pin_5 | GPIO_Pin_6| GPIO_Pin_7| GPIO_Pin_8| GPIO_Pin_9|
                                GPIO_Pin_10 | GPIO_Pin_11| GPIO_Pin_12| GPIO_Pin_13| GPIO_Pin_14|
                                GPIO_Pin_15 ;
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
  GPIO_Init(GPIOE, &GPIO_InitStructure);
   /* 设置PA口的低8位用于控制7670的数据输出 */
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1| GPIO_Pin_2| GPIO_Pin_3| GPIO_Pin_4|
                                GPIO_Pin_5 | GPIO_Pin_6| GPIO_Pin_7;
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;
  GPIO_Init(GPIOA, &GPIO_InitStructure);

  /* 422B的操作设置,设置为输出推挽 */
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_15 | GPIO_Pin_14|GPIO_Pin_13 | GPIO_Pin_12| GPIO_Pin_11;
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
  GPIO_Init(GPIOB, &GPIO_InitStructure);
   /*串口初始化*/
my_USART_init();

}

void mysysinit()//系统初始化程序
{
ErrorStatus HSEStartUpStatus;//说明标志位
RCC_DeInit();//所有外设全部缺省设置
/* Enable HSE */
RCC_HSEConfig(RCC_HSE_ON);
/* Wait till HSE is ready and if Time out is reached exit */
HSEStartUpStatus = RCC_WaitForHSEStartUp();
if(HSEStartUpStatus == SUCCESS)//启动成功
{
/*这两条FLASH指令必须加上,不知为啥?不加上就运行几秒后出错,参照系统初始化*/
/* Enable The Prefetch Buffer */
FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);//FLASH缓存开启
/* Configure the Latency cycle: Set 2 Latency cycles */
  FLASH_SetLatency(FLASH_Latency_2);  //设置FLASH这些位表示SYSCLK(系统时钟)周期与闪存访问时间的比例,为010:两个等待状态,当 48MHz < SYSCLK ≤ 72MHz
/* Set PLL clock output to 72MHz using HSE (8MHz) as entry clock */
RCC_PLLConfig(RCC_PLLSource_HSE_Div1, RCC_PLLMul_9);//外部时钟为8M,PLL的输入时钟=8MHZ,倍频系数9,
/* Configure HCLK such as HCLK = SYSCLK */
RCC_HCLKConfig(RCC_SYSCLK_Div1);//设置了啦AHB分频器的分频系数=1,即HCLK=SYSCLK=72MHZ
/* Configure PCLK1 such as PCLK1 = HCLK/2 */
RCC_PCLK1Config(RCC_HCLK_Div2);//设置了APB1外设的时钟频率最大是36M这里是APB1的分频器设为2,PCLK1=HCLK/2=72/2=36MHZ正好是最大值
/* Configure PCLK2 such as PCLK2 = HCLK */
RCC_PCLK2Config(RCC_HCLK_Div1);//设置PLCK2=HCLK=72MHZ,的APB2分频器=1
/* Select the PLL as system clock source */
RCC_SYSCLKConfig(RCC_SYSCLKSource_PLLCLK);//设置了SYSCLK的提供者为PLL,频率由上面算出=72MHZ
/* disable PLL Ready interrupt */
RCC_ITConfig(RCC_IT_PLLRDY, DISABLE);//PLL中断关闭
/* disable PLL Ready interrupt */
RCC_ITConfig(RCC_IT_HSERDY,DISABLE);//HSE中断关闭
/* disable PLL Ready interrupt */
RCC_ITConfig(RCC_IT_HSIRDY, DISABLE); //HSI中断关闭
/* disable PLL Ready interrupt */
RCC_ITConfig(RCC_IT_LSERDY, DISABLE); //LSE中断关闭
/* disable PLL Ready interrupt */
RCC_ITConfig(RCC_IT_LSIRDY, DISABLE); //LSI中断关闭
/* PLL clock divided by 1.5 used as USB clock source */
RCC_USBCLKConfig(RCC_USBCLKSource_PLLCLK_1Div5);//设置USB的时钟为=72、1.5=48mhz
/* Configure ADCCLK such as ADCCLK = PCLK2/2 */
RCC_ADCCLKConfig(RCC_PCLK2_Div2);//设置ADC时钟=PCLK2/2= 36MHZ
/* disable the LSE */
RCC_LSEConfig(RCC_LSE_OFF);//外部低速晶振关闭
/*DISable the RTC clock */
RCC_RTCCLKCmd(DISABLE);
/* DISable the Clock Security System */
RCC_ClockSecuritySystemCmd(DISABLE);
/* Enable the PLL */
RCC_PLLCmd(ENABLE);//使能PLL



/* PLL ans system clock config */
}
else
{
/* Add here some code to deal with this error */
}


}


回复

使用道具 举报

地板
ID:75908 发表于 2015-10-26 10:36 | 只看该作者
带FIFO的7670驱动早有了,何必这么费劲自己写?
回复

使用道具 举报

5#
ID:123702 发表于 2016-5-28 17:20 | 只看该作者
少年,现在的我就像当初的你,无论搞了多少次,图像永远不对,真心难受,麻烦你拯救拯救我吧
回复

使用道具 举报

6#
ID:157057 发表于 2017-4-14 22:02 | 只看该作者
好帖子,也很励志。
回复

使用道具 举报

7#
ID:385318 发表于 2018-11-11 10:35 | 只看该作者
好帖子,顶一个!
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表