找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 7946|回复: 9
收起左侧

单片机旋转LED制作 带时钟显示与文字显示 红外遥控 转速检测

  [复制链接]
ID:183450 发表于 2017-8-17 16:01 来自手机 | 显示全部楼层 |阅读模式
基于STC89C52RC
红外遥控
时钟显示(3种格式)        
常亮功能
转速测试
由红外避障模块来进行显示定位        
18个led
支持滚动显示
程序有点 乱DS1302部分参考宋老师的代码   

1502955251777.jpeg 1502955244611.jpeg 1502955239797.jpeg 1502955237399.jpeg 1502955229408.jpeg 1502955225968.jpeg 1502955249248.jpeg 1502955246955.jpeg      

单片机源程序:
  1. #include <reg52.h>
  2. typedef unsigned char uint8;
  3. typedef unsigned int uint16;

  4. sbit DS1302_CE = P1^1;

  5. sbit DS1302_CK = P1^3;

  6. sbit DS1302_IO = P1^2;

  7. sbit led =P3^7;
  8. uint8 rs,last,psec;
  9. uint8 market,mark;
  10. uint8 irdata=0;
  11. uint8 ts=0,setbit;
  12. uint8 speed =8;
  13. uint8 fun,led0,type,speed2;
  14. uint16 tmp,fw=600,xz ;
  15. uint16 j,l=170,s=1;
  16. bit dir;

  17.    unsigned char  InitTime[] = {  //2017年07月23日 星期7 15:05:00
  18.         0x00,0x57,0x15, 0x23, 0x07, 0x07, 0x17
  19.     };

  20. unsigned char str[15];    //字符串转换缓冲区
  21. uint8 code wz3[]=
  22. {

  23. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 0,
  24. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 1,




  25. 0x40,0x00,0x41,0x00,0xCE,0x7F,0x04,0x20,0x00,0x90,0x80,0x80,0x40,0x40,0xBE,0x43,
  26. 0x82,0x2C,0x82,0x10,0x82,0x10,0xBE,0x2C,0xC0,0x43,0x40,0xC0,0x40,0x40,0x00,0x00,//设0,

  27. 0x20,0x00,0x21,0x00,0x2E,0x00,0xE4,0x7F,0x00,0x20,0x00,0x10,0x20,0x08,0x20,0x00,
  28. 0x20,0x00,0x20,0x00,0xFF,0xFF,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x00,0x00,//计1,


  29. 0x00,0x00,0x00,0x00,0x00,0x00,0xC0,0x30,0xC0,0x30,0x00,0x00,0x00,0x00,0x00,0x00,//:5,

  30. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 10,



  31. 0x00,0x00,0x70,0x38,0x88,0x20,0x08,0x21,0x08,0x21,0x08,0x22,0x38,0x1C,0x00,0x00,//S16,
  32. 0x18,0x00,0x08,0x00,0x08,0x20,0xF8,0x3F,0x08,0x20,0x08,0x00,0x18,0x00,0x00,0x00,//T17,
  33. 0xC0,0x07,0x30,0x18,0x08,0x20,0x08,0x20,0x08,0x20,0x08,0x10,0x38,0x08,0x00,0x00,//C18,
  34. 0x00,0x10,0x04,0x0E,0x04,0x00,0x04,0x00,0x84,0x3F,0x1F,0x40,0x24,0x40,0xC4,0x40,
  35. 0x84,0x43,0x04,0x40,0x1F,0x40,0x84,0x78,0x04,0x21,0x04,0x0E,0x04,0x04,0x00,0x00,//芯13,
  36. 0x00,0x80,0x00,0x40,0x00,0x30,0xFE,0x0F,0x10,0x01,0x10,0x01,0x10,0x01,0x10,0x01,
  37. 0x10,0x01,0x1F,0x01,0x10,0x01,0x10,0xFF,0x10,0x00,0x18,0x00,0x10,0x00,0x00,0x00,//片14,

  38. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 23,

  39. 0x00,0x80,0x00,0x40,0x00,0x30,0xFE,0x0F,0x22,0x02,0x22,0x02,0x22,0x02,0x22,0x02,
  40. 0xFE,0xFF,0x22,0x02,0x22,0x02,0x22,0x42,0x22,0x82,0xFE,0x7F,0x00,0x00,0x00,0x00,//用25,
  41. 0x00,0x00,0xFC,0x07,0x44,0x04,0x44,0x04,0x44,0x04,0xFC,0x07,0x10,0x00,0x90,0x00,
  42. 0x10,0x03,0x10,0x40,0x10,0x80,0xFF,0x7F,0x10,0x00,0x10,0x00,0x10,0x00,0x00,0x00,//时26,


  43. 0x00,0x00,0x10,0x20,0x10,0x20,0xF8,0x3F,0x00,0x20,0x00,0x20,0x00,0x00,0x00,0x00,//10,
  44. 0x00,0x00,0x10,0x20,0x10,0x20,0xF8,0x3F,0x00,0x20,0x00,0x20,0x00,0x00,0x00,0x00,//11,

  45. 0x00,0x00,0x40,0x80,0x42,0x40,0x42,0x20,0x42,0x10,0x42,0x08,0x42,0x06,0xFE,0x01,
  46. 0x42,0x02,0x42,0x04,0x42,0x08,0x42,0x10,0x42,0x30,0x42,0x60,0x40,0x20,0x00,0x00,//天29,


  47. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 40,

  48. 0x10,0x04,0x12,0x03,0xD2,0x00,0xFE,0xFF,0x91,0x00,0x11,0x41,0x80,0x44,0xBF,0x44,
  49. 0xA1,0x44,0xA1,0x7F,0xA1,0x44,0xA1,0x44,0xBF,0x44,0x80,0x44,0x00,0x40,0x00,0x00,//程42,
  50. 0x00,0x80,0x00,0x60,0xFC,0x1F,0x04,0x00,0x04,0x01,0x14,0x01,0x14,0x01,0x35,0x41,
  51. 0x56,0x81,0x94,0x7F,0x54,0x01,0x34,0x01,0x14,0x01,0x04,0x03,0x04,0x01,0x00,0x00,//序43,
  52. 0x20,0x00,0x20,0x80,0x20,0x40,0x20,0x20,0x20,0x10,0x20,0x0C,0xA0,0x03,0x7F,0x00,
  53. 0xA0,0x01,0x20,0x06,0x20,0x08,0x20,0x30,0x20,0x60,0x20,0xC0,0x20,0x40,0x00,0x00,//大44,
  54. 0x00,0x04,0x00,0x02,0x00,0x01,0xC0,0x00,0x70,0x00,0x20,0x40,0x00,0x80,0xFF,0x7F,
  55. 0x00,0x00,0x10,0x00,0x20,0x00,0xC0,0x00,0x80,0x01,0x00,0x07,0x00,0x02,0x00,0x00,//小45,
  56. 0x00,0x00,0x70,0x1C,0x88,0x22,0x08,0x21,0x08,0x21,0x88,0x22,0x70,0x1C,0x00,0x00,//80,
  57. 0x08,0x20,0xF8,0x3F,0x88,0x20,0xC0,0x01,0x28,0x26,0x18,0x38,0x08,0x20,0x00,0x00,//K1,
  58. 0x08,0x20,0xF8,0x3F,0x88,0x20,0x88,0x20,0x88,0x20,0x70,0x11,0x00,0x0E,0x00,0x00,//B2,
  59. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,

  60. 0x08,0x20,0xF8,0x3F,0xF8,0x00,0x80,0x3F,0xF8,0x00,0xF8,0x3F,0x08,0x20,//M0,
  61. 0x00,0x00,0x00,0x1D,0x80,0x24,0x80,0x22,0x80,0x22,0x80,0x3F,0x00,0x20,//a1,
  62. 0x00,0x00,0x00,0x1F,0x80,0x21,0x80,0x20,0x88,0x20,0xF8,0x3F,0x00,0x20,//d2,
  63. 0x00,0x00,0x00,0x1F,0x80,0x22,0x80,0x22,0x80,0x22,0x00,0x13,0x00,0x00,//e3,
  64. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 4,
  65. 0x08,0x00,0xF8,0x3F,0x00,0x21,0x80,0x20,0x80,0x20,0x00,0x1F,0x00,0x00,//b5,
  66. 0x80,0x80,0x80,0x83,0x80,0x4E,0x00,0x30,0x80,0x0E,0x80,0x01,0x80,0x00,//y6,
  67. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 7,
  68. 0x08,0x20,0xF8,0x3F,0x08,0x21,0x00,0x01,0x08,0x21,0xF8,0x3F,0x08,0x20,//H8,
  69. 0x10,0x30,0x08,0x2C,0x08,0x23,0xC8,0x20,0x38,0x20,0x08,0x10,0x00,0x00,//Z9,
  70. 0x08,0x20,0xF8,0x3F,0x08,0x21,0x00,0x01,0x08,0x21,0xF8,0x3F,0x08,0x20,//H10,
  71. 0x00,0x00,0x00,0x30,0x00,0x30,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//.11,
  72. 0x00,0x00,0x70,0x30,0x88,0x20,0x08,0x21,0x08,0x23,0x18,0x1E,0x00,0x00,//S12,
  73. 0x80,0x80,0x80,0xFF,0x00,0xA1,0x80,0x20,0x80,0x30,0x00,0x1F,0x00,0x00,//p13,
  74. 0x00,0x00,0x00,0x1F,0x80,0x22,0x80,0x22,0x80,0x22,0x00,0x13,0x00,0x00,//e14,
  75. 0x80,0x20,0x80,0x3F,0x00,0x21,0x80,0x00,0x80,0x20,0x80,0x3F,0x00,0x20,//n15,
  76. 0x00,0x00,0x80,0x00,0x80,0x00,0xE0,0x1F,0x80,0x20,0x80,0x20,0x00,0x00,//t16,
  77. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 17,
  78. 0x00,0x00,0x10,0x20,0x10,0x20,0xF8,0x3F,0x00,0x20,0x00,0x20,0x00,0x00,//118,
  79. 0x00,0x00,0x10,0x20,0x10,0x20,0xF8,0x3F,0x00,0x20,0x00,0x20,0x00,0x00,//119,
  80. 0x00,0x00,0x00,0x1F,0x80,0x21,0x80,0x20,0x88,0x20,0xF8,0x3F,0x00,0x20,//d20,
  81. 0x00,0x00,0x00,0x1D,0x80,0x24,0x80,0x22,0x80,0x22,0x80,0x3F,0x00,0x20,//a21,
  82. 0x80,0x80,0x80,0x83,0x80,0x4E,0x00,0x30,0x80,0x0E,0x80,0x01,0x80,0x00,//y22,
  83. 0x00,0x00,0x00,0x33,0x80,0x24,0x80,0x24,0x80,0x24,0x80,0x19,0x00,0x00,//s23,
  84. 0x00,0x00,0x00,0x30,0x00,0x30,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//.24,
  85. 0x08,0x20,0xF8,0x3F,0x08,0x21,0x08,0x01,0x08,0x01,0xF0,0x00,0x00,0x00,//P25,
  86. 0x80,0x20,0x80,0x20,0x80,0x3F,0x00,0x21,0x80,0x20,0x80,0x01,0x00,0x00,//r26,
  87. 0x00,0x00,0x00,0x1F,0x80,0x31,0x80,0x20,0x80,0x21,0x00,0x1F,0x00,0x00,//o27,
  88. 0x00,0x00,0x00,0x6B,0x80,0x94,0x80,0x94,0x80,0x93,0x80,0x60,0x00,0x00,//g28,
  89. 0x80,0x20,0x80,0x20,0x80,0x3F,0x00,0x21,0x80,0x20,0x80,0x01,0x00,0x00,//r29,
  90. 0x00,0x00,0x00,0x1D,0x80,0x24,0x80,0x22,0x80,0x22,0x80,0x3F,0x00,0x20,//a30,
  91. 0x80,0x20,0x80,0x3F,0x80,0x00,0x80,0x3F,0x80,0x00,0x00,0x3F,0x00,0x20,//m31,
  92. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 32,
  93. 0x00,0x00,0x00,0x33,0x80,0x24,0x80,0x24,0x80,0x24,0x80,0x19,0x00,0x00,//s33,
  94. 0x00,0x00,0x80,0x20,0x80,0x20,0x98,0x3F,0x00,0x20,0x00,0x20,0x00,0x00,//i34,
  95. 0x00,0x00,0x80,0x21,0x80,0x38,0x80,0x24,0x80,0x23,0x80,0x30,0x00,0x00,//z35,
  96. 0x00,0x00,0x00,0x1F,0x80,0x22,0x80,0x22,0x80,0x22,0x00,0x13,0x00,0x00,//e36,
  97. 0x00,0x00,0x00,0x00,0xC0,0x30,0xC0,0x30,0x00,0x00,0x00,0x00,0x00,0x00,//:37,
  98. 0x70,0x1E,0x98,0x21,0x88,0x21,0x08,0x21,0x98,0x31,0x70,0x1E,0x00,0x00,//838,
  99. 0x08,0x20,0xF8,0x3F,0x88,0x20,0xC0,0x01,0x28,0x26,0x18,0x38,0x08,0x20,//K39,
  100. 0x08,0x20,0xF8,0x3F,0x88,0x20,0x88,0x20,0x88,0x31,0x70,0x1E,0x00,0x00,//B40,
  101. 0x00,0x00,0x00,0x30,0x00,0x30,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//.41,
  102. 0x08,0x20,0xF8,0x3F,0xF8,0x00,0x80,0x3F,0xF8,0x00,0xF8,0x3F,0x08,0x20,//M42,
  103. 0xE0,0x0F,0x30,0x10,0x08,0x20,0x08,0x20,0x08,0x20,0x38,0x10,0x00,0x00,//C43,
  104. 0x08,0x00,0xF8,0x1F,0x08,0x20,0x00,0x20,0x08,0x20,0xF8,0x1F,0x08,0x00,//U44,
  105. 0x00,0x00,0x00,0x00,0xC0,0x30,0xC0,0x30,0x00,0x00,0x00,0x00,0x00,0x00,//:45,
  106. 0x00,0x00,0x70,0x30,0x88,0x20,0x08,0x21,0x08,0x23,0x18,0x1E,0x00,0x00,//S46,
  107. 0x18,0x00,0x08,0x00,0x08,0x20,0xF8,0x3F,0x08,0x20,0x08,0x00,0x18,0x00,//T47,
  108. 0xE0,0x0F,0x30,0x10,0x08,0x20,0x08,0x20,0x08,0x20,0x38,0x10,0x00,0x00,//C48,
  109. 0x70,0x1E,0x98,0x21,0x88,0x21,0x08,0x21,0x98,0x31,0x70,0x1E,0x00,0x00,//849,
  110. 0xF0,0x31,0x08,0x22,0x08,0x22,0x08,0x32,0x18,0x19,0xE0,0x07,0x00,0x00,//950,


  111. 0x20,0x04,0x20,0x04,0x22,0x42,0x22,0x82,0xFE,0x7F,0x21,0x01,0x21,0x01,0x20,0x10,
  112. 0x20,0x10,0xFF,0x08,0x20,0x07,0x22,0x1A,0xAC,0x21,0x20,0x40,0x20,0xF0,0x00,0x00,//我0,
  113. 0x00,0x00,0xFE,0x07,0x02,0x01,0x02,0x81,0xFE,0x43,0x00,0x30,0x00,0x0C,0xFE,0x03,
  114. 0x22,0x00,0x22,0x00,0x22,0x00,0xE2,0x7F,0x21,0x00,0x21,0x00,0x20,0x00,0x00,0x00,//听1,
  115. 0x00,0x40,0x00,0x40,0x00,0x20,0xFF,0x21,0x01,0x10,0x01,0x0C,0x01,0x03,0xF9,0x00,
  116. 0x01,0x3F,0x01,0x40,0x01,0x40,0xFF,0x41,0x00,0x40,0x00,0x78,0x00,0x20,0x00,0x00,//见2,
  117. 0x02,0x00,0x02,0x00,0xF2,0xFF,0x32,0x01,0x52,0x02,0x92,0x04,0x12,0x00,0xFE,0x7F,
  118. 0x32,0x01,0x52,0x02,0x92,0x44,0x12,0x80,0xF2,0x7F,0x02,0x00,0x02,0x00,0x00,0x00,//雨3,
  119. 0x10,0x08,0x61,0xF8,0x06,0x07,0xE0,0x00,0x00,0x00,0xF2,0xFF,0x92,0x00,0x96,0x0E,
  120. 0x9B,0x0A,0xF2,0x0B,0x9A,0x0A,0x96,0x4E,0x92,0x80,0xF2,0x7F,0x00,0x00,0x00,0x00,//滴4,
  121. 0x44,0x08,0x94,0x09,0x64,0xF8,0x04,0x06,0x84,0x09,0x1F,0x08,0x84,0xFC,0x74,0x4C,
  122. 0xA4,0x4A,0x2F,0x49,0xA4,0x4A,0x64,0xFC,0x24,0x04,0x04,0x08,0x04,0x08,0x00,0x00,//落5,
  123. 0x00,0x04,0x04,0x02,0x04,0x01,0xC4,0x7F,0x64,0x00,0x9C,0x20,0x87,0x20,0x84,0x20,
  124. 0x84,0x20,0xE4,0x3F,0x84,0x20,0x84,0x20,0x84,0x20,0x84,0x20,0x04,0x20,0x00,0x00,//在6,
  125. 0x40,0x00,0x40,0x00,0x44,0x00,0x54,0xFF,0x54,0x15,0x54,0x15,0x54,0x15,0x7F,0x15,
  126. 0x54,0x15,0x54,0x55,0x54,0x95,0x54,0x7F,0x44,0x00,0x40,0x00,0x40,0x00,0x00,0x00,//青7,
  127. 0x40,0x00,0x40,0x00,0x44,0x00,0x54,0xFF,0x54,0x15,0x54,0x15,0x54,0x15,0x7F,0x15,
  128. 0x54,0x15,0x54,0x55,0x54,0x95,0x54,0x7F,0x44,0x00,0x40,0x00,0x40,0x00,0x00,0x00,//青8,
  129. 0x04,0x08,0x04,0x08,0x04,0x08,0xE4,0x0B,0xA4,0x0A,0xBF,0x0A,0xA4,0x0A,0xA4,0xFE,
  130. 0xA4,0x0A,0xBF,0x0A,0xA4,0x0A,0xE4,0x0B,0x04,0x08,0x04,0x08,0x04,0x08,0x00,0x00,//草9,
  131. 0x10,0x20,0x10,0x20,0x10,0x10,0xFE,0x1F,0x10,0x08,0x50,0x08,0x40,0x00,0xFE,0x3F,
  132. 0x20,0x40,0x20,0x40,0xFF,0x4F,0x10,0x42,0x10,0x44,0xF8,0x43,0x10,0x70,0x00,0x00,//地10,
  133. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00// 11,

  134.         



  135. };
  136. uint8 code num0[]=                                
  137. {
  138. 0x00,0x3C,0x42,0x42,0x42,0x3C,0x00,0x00,
  139. 0x00,0x00,0x44,0x7E,0x40,0x00,0x00,0x00,
  140. 0x00,0x44,0x42,0x62,0x52,0x4C,0x40,0x00,
  141. 0x00,0x00,0x89,0x89,0x89,0x76,0x00,0x00,
  142. 0x10,0x18,0x14,0x12,0xFF,0x10,0x10,0x00,
  143. 0x00,0x00,0x8F,0x89,0x89,0x89,0x70,0x00,
  144. 0x00,0x00,0x7E,0x89,0x89,0x89,0x70,0x00,
  145. 0x00,0x03,0x01,0xF1,0x09,0x07,0x00,0x00,
  146. 0x00,0x00,0x6E,0x91,0x91,0x6E,0x00,0x00,
  147. 0x00,0x4E,0x91,0x91,0x91,0x7E,0x00,0x00,
  148. 0x00,0x68,0x58,0x58,0x58,0x58,0x78,0x40,//a0,
  149. 0x04,0x7C,0x48,0x48,0x48,0x48,0x30,0x00,//b1,
  150. 0x00,0x30,0x48,0x48,0x48,0x48,0x48,0x00,//c2,
  151. 0x00,0x30,0x50,0x48,0x48,0x4C,0x7C,0x40,//d3,
  152. 0x00,0x30,0x58,0x58,0x58,0x58,0x50,0x00,//e4,
  153. 0x00,0x48,0x48,0x7C,0x4C,0x4C,0x0C,0x04,//f5,
  154. 0x00,0xC0,0x60,0x30,0x0C,0x06,0x03,0x00,///0,
  155. 0x00,0x48,0x48,0x00,0x00,0x00,0x00,0x00,//:1,
  156. 0x7F,0x80,0x40,0x3C,0x40,0x80,0x7F,0x00,
  157. 0x00,0xFF,0x89,0x89,0x89,0x81,0x00,0x00,
  158. 0x00,0xFF,0x08,0x14,0x22,0x41,0x80,0x00,
  159. 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
  160. 0x38,0x44,0x64,0x64,0x64,0xC4,0xB8,0x00//Q0,
  161. };
  162. uint8 code num1[]=
  163. {

  164. 0x00,0x00,0xE0,0x0F,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xE0,0x0F,0x00,0x00,//00,
  165. 0x00,0x00,0x10,0x20,0x10,0x20,0xF8,0x3F,0x00,0x20,0x00,0x20,0x00,0x00,0x00,0x00,//11,
  166. 0x00,0x00,0x70,0x30,0x08,0x28,0x08,0x24,0x08,0x22,0x88,0x21,0x70,0x30,0x00,0x00,//22,
  167. 0x00,0x00,0x30,0x18,0x08,0x20,0x88,0x20,0x88,0x20,0x48,0x11,0x30,0x0E,0x00,0x00,//33,
  168. 0x00,0x00,0x00,0x07,0xC0,0x04,0x20,0x24,0x10,0x24,0xF8,0x3F,0x00,0x24,0x00,0x00,//44,
  169. 0x00,0x00,0xF8,0x19,0x08,0x21,0x88,0x20,0x88,0x20,0x08,0x11,0x08,0x0E,0x00,0x00,//55,
  170. 0x00,0x00,0xE0,0x0F,0x10,0x11,0x88,0x20,0x88,0x20,0x18,0x11,0x00,0x0E,0x00,0x00,//66,
  171. 0x00,0x00,0x38,0x00,0x08,0x00,0x08,0x3F,0xC8,0x00,0x38,0x00,0x08,0x00,0x00,0x00,//77,
  172. 0x00,0x00,0x70,0x1C,0x88,0x22,0x08,0x21,0x08,0x21,0x88,0x22,0x70,0x1C,0x00,0x00,//88,
  173. 0x00,0x00,0xE0,0x00,0x10,0x31,0x08,0x22,0x08,0x22,0x10,0x11,0xE0,0x0F,0x00,0x00,//99,
  174. 0x00,0x00,0x00,0x19,0x80,0x24,0x80,0x22,0x80,0x22,0x80,0x22,0x00,0x3F,0x00,0x20,//a10,
  175. 0x08,0x00,0xF8,0x3F,0x00,0x11,0x80,0x20,0x80,0x20,0x00,0x11,0x00,0x0E,0x00,0x00,//b11,
  176. 0x00,0x00,0x00,0x0E,0x00,0x11,0x80,0x20,0x80,0x20,0x80,0x20,0x00,0x11,0x00,0x00,//c12,
  177. 0x00,0x00,0x00,0x0E,0x00,0x11,0x80,0x20,0x80,0x20,0x88,0x10,0xF8,0x3F,0x00,0x20,//d13,
  178. 0x00,0x00,0x00,0x1F,0x80,0x22,0x80,0x22,0x80,0x22,0x80,0x22,0x00,0x13,0x00,0x00,//e14,
  179. 0x00,0x00,0x80,0x20,0x80,0x20,0xF0,0x3F,0x88,0x20,0x88,0x20,0x88,0x00,0x18,0x00,//f15,
  180. 0x00,0x00,0x00,0x00,0x00,0x00,0xC0,0x30,0xC0,0x30,0x00,0x00,0x00,0x00,0x00,0x00//:16,


  181. };

  182. uint8 code set[]=
  183. {

  184. 0x02,0x00,0xF2,0x7F,0x02,0x20,0x02,0x20,0xFA,0x2F,0x4A,0x24,0x4A,0x24,0xFA,0x27,
  185. 0x4A,0x24,0x4A,0x24,0xFA,0x2F,0x02,0x20,0x02,0x20,0xF2,0x7F,0x02,0x00,0x00,0x00,//画0,
  186. 0x08,0x04,0x08,0x02,0x08,0x01,0x88,0x00,0xC8,0x3F,0xB8,0x00,0x8F,0x00,0x8A,0x00,
  187. 0xF8,0xFF,0x88,0x00,0x88,0x10,0x88,0x20,0x88,0x1F,0x08,0x00,0x08,0x00,0x00,0x00,//布1,
  188. 0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0xFF,0xFF,0xA0,0x40,0xA0,0x21,0x90,0x12,
  189. 0x90,0x04,0x88,0x08,0x84,0x10,0x82,0x30,0x80,0x60,0x80,0x20,0x80,0x00,0x00,0x00,//长2,
  190. 0x00,0x80,0x00,0x60,0xFC,0x1F,0x04,0x80,0x24,0x80,0x24,0x42,0xFC,0x46,0xA5,0x2A,
  191. 0xA6,0x12,0xA4,0x12,0xFC,0x2A,0x24,0x26,0x24,0x42,0x24,0xC0,0x04,0x40,0x00,0x00,//度3,
  192. 0x00,0x81,0x84,0x80,0x44,0x80,0x34,0x41,0x24,0x41,0x04,0x27,0xFD,0x29,0x06,0x11,
  193. 0x06,0x11,0xFC,0x29,0x04,0x27,0x14,0x41,0x24,0xC0,0xE4,0x40,0x44,0x40,0x00,0x00,//变4,
  194. 0x80,0x00,0x40,0x00,0x20,0x00,0xF8,0x7F,0x07,0x00,0x02,0x04,0x00,0x02,0x00,0x01,
  195. 0xFF,0x3F,0xC0,0x40,0x60,0x40,0x30,0x40,0x1C,0x40,0x08,0x78,0x00,0x00,0x00,0x00,//化5,
  196. 0x40,0x40,0x42,0x20,0xCC,0x1F,0x00,0x20,0x04,0x48,0xE4,0x49,0x24,0x45,0x24,0x43,
  197. 0xFF,0x7F,0x24,0x41,0x24,0x43,0x24,0x45,0xE4,0x4D,0x04,0x40,0x00,0x40,0x00,0x00,//速6,
  198. 0x00,0x80,0x00,0x60,0xFC,0x1F,0x04,0x80,0x24,0x80,0x24,0x42,0xFC,0x46,0xA5,0x2A,
  199. 0xA6,0x12,0xA4,0x12,0xFC,0x2A,0x24,0x26,0x24,0x42,0x24,0xC0,0x04,0x40,0x00,0x00,//度7,
  200. 0x40,0x00,0x20,0x00,0xF8,0xFF,0x17,0x00,0x02,0x2A,0xF8,0x2A,0x4C,0x16,0x4B,0x15,
  201. 0xCA,0x49,0x7A,0x86,0x4E,0x7A,0x4A,0x05,0xC8,0x09,0x78,0x31,0x00,0x10,0x00,0x00,//像8,
  202. 0x20,0x00,0x22,0x40,0x2A,0x44,0x2A,0x25,0x2A,0x15,0xAA,0x47,0x6A,0x85,0x3F,0x7D,
  203. 0x2A,0x05,0xAA,0x04,0x2A,0x14,0x2A,0x26,0x2A,0x4C,0x22,0x00,0x20,0x00,0x00,0x00,//素9,
  204. 0x10,0x00,0x0C,0x80,0x14,0x80,0x94,0x4F,0x94,0x40,0xBC,0x20,0x95,0x18,0x96,0x07,
  205. 0x94,0x38,0xBC,0x40,0x94,0x40,0x94,0x4F,0x14,0x40,0x0C,0x70,0x04,0x00,0x00,0x00,//宽10,
  206. 0x00,0x80,0x00,0x60,0xFC,0x1F,0x04,0x80,0x24,0x80,0x24,0x42,0xFC,0x46,0xA5,0x2A,
  207. 0xA6,0x12,0xA4,0x12,0xFC,0x2A,0x24,0x26,0x24,0x42,0x24,0xC0,0x04,0x40,0x00,0x00,//度11,
  208. 0x00,0x00,0xFC,0x07,0x44,0x04,0x44,0x04,0x44,0x04,0xFC,0x07,0x10,0x00,0x90,0x00,
  209. 0x10,0x03,0x10,0x40,0x10,0x80,0xFF,0x7F,0x10,0x00,0x10,0x00,0x10,0x00,0x00,0x00,//时0,
  210. 0x00,0x00,0xF8,0xFF,0x01,0x00,0x06,0x00,0x00,0x00,0xF0,0x07,0x92,0x04,0x92,0x04,
  211. 0x92,0x04,0x92,0x04,0xF2,0x07,0x02,0x40,0x02,0x80,0xFE,0x7F,0x00,0x00,0x00,0x00,//间1,
  212. 0x08,0x02,0x88,0x01,0x68,0x00,0xFF,0xFF,0x48,0x00,0xA8,0x01,0x10,0x01,0x0C,0xFF,
  213. 0x97,0x42,0xA4,0x42,0x44,0x42,0xB4,0x42,0x8C,0xFE,0x00,0x01,0x00,0x01,0x00,0x00,//格2,
  214. 0x00,0x00,0x08,0x20,0x88,0x60,0x88,0x30,0x88,0x1F,0x88,0x10,0x88,0x08,0x08,0x08,
  215. 0xFF,0x00,0x08,0x07,0x09,0x18,0x0E,0x20,0x0A,0x40,0x08,0x80,0x00,0x70,0x00,0x00,//式3,


  216. 0x10,0x08,0x61,0x08,0x06,0xFE,0xC0,0x11,0x04,0x10,0x24,0x08,0x94,0xFC,0xCC,0x42,
  217. 0xA5,0x23,0x86,0x04,0xCC,0x08,0x94,0x15,0x34,0x62,0x04,0xC0,0x04,0x40,0x00,0x00,//滚0,
  218. 0x20,0x08,0x24,0x1C,0x24,0x0B,0xE4,0x08,0x24,0x0C,0x24,0x05,0x24,0x4E,0x20,0x24,
  219. 0x10,0x10,0x10,0x0C,0xFF,0x03,0x10,0x20,0x10,0x40,0xF0,0x3F,0x00,0x00,0x00,0x00,//动1,
  220. 0x40,0x40,0x42,0x20,0xCC,0x1F,0x00,0x20,0x04,0x48,0xE4,0x49,0x24,0x45,0x24,0x43,
  221. 0xFF,0x7F,0x24,0x41,0x24,0x43,0x24,0x45,0xE4,0x4D,0x04,0x40,0x00,0x40,0x00,0x00,//速2,
  222. 0x00,0x80,0x00,0x60,0xFC,0x1F,0x04,0x80,0x24,0x80,0x24,0x42,0xFC,0x46,0xA5,0x2A,
  223. 0xA6,0x12,0xA4,0x12,0xFC,0x2A,0x24,0x26,0x24,0x42,0x24,0xC0,0x04,0x40,0x00,0x00,//度3,
  224. 0x10,0x08,0x61,0x08,0x06,0xFE,0xC0,0x11,0x04,0x10,0x24,0x08,0x94,0xFC,0xCC,0x42,
  225. 0xA5,0x23,0x86,0x04,0xCC,0x08,0x94,0x15,0x34,0x62,0x04,0xC0,0x04,0x40,0x00,0x00,//滚4,
  226. 0x20,0x08,0x24,0x1C,0x24,0x0B,0xE4,0x08,0x24,0x0C,0x24,0x05,0x24,0x4E,0x20,0x24,
  227. 0x10,0x10,0x10,0x0C,0xFF,0x03,0x10,0x20,0x10,0x40,0xF0,0x3F,0x00,0x00,0x00,0x00,//动5,
  228. 0x44,0x08,0x94,0x09,0xA4,0xF8,0x64,0x04,0x04,0x03,0x0F,0x00,0x04,0x00,0xE4,0x3F,
  229. 0x24,0x40,0x2C,0x40,0x2F,0x42,0x24,0x46,0xE4,0x43,0x04,0x70,0x04,0x00,0x00,0x00,//范6,
  230. 0x00,0x00,0xFF,0xFF,0x01,0x40,0x89,0x40,0xA9,0x40,0xA9,0x40,0xFF,0x5F,0xA9,0x40,
  231. 0xA9,0x48,0xA9,0x50,0xA9,0x4F,0x09,0x40,0x01,0x40,0xFF,0xFF,0x00,0x00,0x00,0x00,//围7,

  232. 0x00,0x00,0x00,0x00,0x00,0x00,0xC0,0x30,0xC0,0x30,0x00,0x00,0x00,0x00,0x00,0x00//:12,

  233. };
  234. uint8 code hwm[]=
  235. {
  236. 0x20,0x22,0x30,0x66,0x2C,0x23,0xA3,0x12,0x60,0x12,0x18,0x52,0x00,0x40,0x08,0x40,
  237. 0x08,0x40,0x08,0x40,0xF8,0x7F,0x08,0x40,0x08,0x40,0x0C,0x60,0x08,0x40,0x00,0x00,//红0,
  238. 0x80,0x40,0x40,0x20,0x20,0x10,0x58,0x08,0x87,0x05,0x04,0x03,0xC4,0x00,0x3C,0x00,
  239. 0x00,0x00,0x00,0x00,0xFF,0xFF,0x20,0x00,0x40,0x00,0x80,0x00,0x00,0x03,0x00,0x00,//外1,
  240. 0x02,0x01,0x82,0x00,0xE2,0x7F,0x5E,0x10,0x42,0x10,0xC2,0x3F,0x00,0x00,0x02,0x04,
  241. 0xFA,0x04,0x82,0x04,0x82,0x44,0x82,0x84,0xFE,0x40,0x80,0x3F,0x00,0x00,0x00,0x00,//码2,
  242. 0x00,0x00,0x00,0x00,0x00,0x00,0xC0,0x30,0xC0,0x30,0x00,0x00,0x00,0x00,0x00,0x00//:3,
  243. };
  244. uint8 code wz2[]=
  245. {
  246. 0x40,0x04,0x20,0x04,0x10,0x04,0x0C,0x04,0xE3,0x07,0x22,0x04,0x22,0x04,0x22,0x04,
  247. 0xFE,0xFF,0x22,0x04,0x22,0x04,0x22,0x04,0x22,0x04,0x02,0x04,0x00,0x04,0x00,0x00,//年0,
  248. 0x00,0x00,0x00,0x40,0x00,0x20,0x00,0x10,0x00,0x0C,0xFF,0x03,0x11,0x01,0x11,0x01,
  249. 0x11,0x01,0x11,0x21,0x11,0x41,0xFF,0x3F,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//月1,
  250. 0x00,0x00,0x00,0x00,0x00,0x00,0xFE,0x3F,0x42,0x10,0x42,0x10,0x42,0x10,0x42,0x10,
  251. 0x42,0x10,0x42,0x10,0x42,0x10,0xFE,0x3F,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//日2,
  252. 0x00,0x00,0x00,0x48,0x00,0x46,0xBE,0x41,0x2A,0x49,0x2A,0x49,0x2A,0x49,0xEA,0x7F,
  253. 0x2A,0x49,0x2A,0x49,0x2A,0x49,0x2A,0x49,0x3E,0x49,0x00,0x41,0x00,0x40,0x00,0x00,//星3,
  254. 0x00,0x42,0x04,0x22,0xFF,0x1B,0x54,0x02,0x54,0x02,0x54,0x0A,0xFF,0x33,0x04,0x62,
  255. 0x00,0x18,0xFE,0x07,0x22,0x02,0x22,0x22,0x22,0x42,0xFE,0x3F,0x00,0x00,0x00,0x00,//期4,
  256. 0x00,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,
  257. 0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0xC0,0x00,0x80,0x00,0x00,0x00,//一5,
  258. 0x00,0x00,0x00,0x10,0x04,0x10,0x04,0x10,0x04,0x10,0x04,0x10,0x04,0x10,0x04,0x10,
  259. 0x04,0x10,0x04,0x10,0x04,0x10,0x06,0x10,0x04,0x10,0x00,0x18,0x00,0x10,0x00,0x00,//二6,
  260. 0x00,0x00,0x04,0x20,0x84,0x20,0x84,0x20,0x84,0x20,0x84,0x20,0x84,0x20,0x84,0x20,
  261. 0x84,0x20,0x84,0x20,0x84,0x20,0x84,0x20,0x84,0x20,0x04,0x20,0x00,0x20,0x00,0x00,//三7,
  262. 0x00,0x00,0xFE,0x7F,0x02,0x28,0x02,0x24,0x02,0x23,0xFE,0x20,0x02,0x20,0x02,0x20,
  263. 0xFE,0x21,0x02,0x22,0x02,0x22,0x02,0x22,0x02,0x22,0xFE,0x7F,0x00,0x00,0x00,0x00,//四8,
  264. 0x00,0x20,0x02,0x20,0x82,0x20,0x82,0x20,0x82,0x20,0x82,0x3F,0xFE,0x20,0x82,0x20,
  265. 0x82,0x20,0x82,0x20,0xC2,0x3F,0x82,0x20,0x02,0x20,0x00,0x30,0x00,0x20,0x00,0x00,//五9,
  266. 0x10,0x00,0x10,0x40,0x10,0x20,0x10,0x10,0x10,0x0C,0x91,0x03,0x12,0x01,0x1E,0x00,
  267. 0x94,0x00,0x10,0x01,0x10,0x02,0x10,0x0C,0x10,0x78,0x10,0x30,0x10,0x00,0x00,0x00,//六10,
  268. 0x00,0x00,0x00,0x00,0x00,0x00,0xFE,0x3F,0x42,0x10,0x42,0x10,0x42,0x10,0x42,0x10,
  269. 0x42,0x10,0x42,0x10,0x42,0x10,0xFE,0x3F,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00//日11,

  270. };


  271. uint8 code hzh[]=
  272. {

  273. 0x08,0x20,0xF8,0x3F,0x88,0x20,0x88,0x20,0x88,0x20,0x70,0x11,0x00,0x0E,0x00,0x00,//B0,
  274. 0x08,0x00,0x38,0x00,0xC8,0x20,0x00,0x3F,0xC8,0x20,0x38,0x00,0x08,0x00,0x00,0x00,//Y1,
  275. 0x00,0x00,0x00,0x00,0x00,0x00,0xC0,0x30,0xC0,0x30,0x00,0x00,0x00,0x00,0x00,0x00,//:2,
  276. 0x20,0x00,0x24,0x80,0x24,0x80,0xA4,0x5F,0xA4,0x32,0xBF,0x12,0xA4,0x12,0xE4,0x1F,
  277. 0xA4,0x12,0xBF,0x12,0xA4,0x32,0xA4,0x5F,0x24,0xC0,0x24,0x00,0x20,0x00,0x00,0x00,//黄3,
  278. 0x00,0x08,0x00,0x08,0xF0,0x0B,0x50,0x09,0x50,0x09,0x50,0x09,0x5F,0x09,0x54,0xFF,
  279. 0x54,0x09,0x54,0x09,0x54,0x09,0xF4,0x0B,0x04,0x08,0x00,0x08,0x00,0x08,0x00,0x00,//卓4,
  280. 0x10,0x04,0x21,0x7F,0xE6,0x00,0xF4,0x05,0x54,0x05,0x5F,0x7F,0x54,0x05,0xF4,0x15,
  281. 0x94,0x28,0x48,0x45,0xC4,0x3F,0x03,0x08,0x44,0x25,0x48,0x62,0xD0,0x3F,0x00,0x00
  282. };


  283. uint8 code wz4[]=
  284. {
  285. 0x20,0x00,0x10,0x00,0xFC,0x7F,0x07,0x00,0xF2,0x03,0x20,0x54,0x98,0x54,0x8F,0x4A,
  286. 0x54,0x2B,0xA4,0x25,0x24,0x15,0x54,0x12,0xCC,0x0A,0x80,0x09,0x80,0x00,0x00,0x00,//修0,
  287. 0x00,0x00,0xC4,0x7F,0x44,0x20,0x44,0x10,0x44,0x48,0x7C,0x44,0x80,0x20,0x40,0x20,
  288. 0xF0,0x10,0x1F,0x0B,0x12,0x0C,0x10,0x13,0xF0,0x20,0x10,0x60,0x10,0x20,0x00,0x00,//改1,
  289. 0x00,0x00,0xFC,0x07,0x44,0x04,0x44,0x04,0x44,0x04,0xFC,0x07,0x10,0x00,0x90,0x00,
  290. 0x10,0x03,0x10,0x40,0x10,0x80,0xFF,0x7F,0x10,0x00,0x10,0x00,0x10,0x00,0x00,0x00,//时2,
  291. 0x00,0x00,0xF8,0xFF,0x01,0x00,0x06,0x00,0x00,0x00,0xF0,0x07,0x92,0x04,0x92,0x04,
  292. 0x92,0x04,0x92,0x04,0xF2,0x07,0x02,0x40,0x02,0x80,0xFE,0x7F,0x00,0x00,0x00,0x00,//间3,
  293. 0x08,0x00,0x08,0x00,0xE8,0x7F,0xA8,0x04,0xA9,0x24,0xAE,0x44,0xEA,0x3F,0x08,0x00,
  294. 0x08,0x00,0xC8,0x1F,0x0C,0x40,0x0B,0x80,0xEA,0x7F,0x08,0x00,0x08,0x00,0x00,0x00,//前0,
  295. 0x00,0x40,0x00,0x30,0x00,0x0E,0xFE,0x01,0x12,0x00,0x12,0x7F,0x12,0x11,0x12,0x11,
  296. 0x12,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x7F,0x11,0x00,0x00,0x00,0x00,0x00//后1,

  297. };


  298.   uint8 code zs[]=
  299.   {
  300.   
  301. 0x08,0x20,0x08,0x18,0xF9,0x27,0x4E,0x40,0x48,0x20,0xC8,0x9F,0x10,0x60,0xA8,0x1F,
  302. 0x27,0x10,0x24,0x20,0xE4,0x3F,0x24,0x42,0x24,0x42,0x64,0x42,0x24,0x40,0x00,0x00,//旋0,
  303. 0xC8,0x08,0xA8,0x08,0x9C,0x04,0xEB,0xFF,0x88,0x04,0x88,0x04,0x88,0x00,0x40,0x02,
  304. 0x48,0x0B,0xF8,0x12,0x4F,0x22,0x48,0xD2,0x48,0x0E,0x48,0x02,0x40,0x00,0x00,0x00,//转1,
  305. 0x80,0x20,0x80,0x20,0x80,0x3F,0x00,0x21,0x80,0x20,0x80,0x00,0x80,0x01,0x00,0x00,//r2,
  306. 0x00,0x00,0x00,0x60,0x00,0x18,0x00,0x06,0x80,0x01,0x60,0x00,0x18,0x00,0x04,0x00,///3,
  307. 0x00,0x00,0x00,0x33,0x80,0x24,0x80,0x24,0x80,0x24,0x80,0x24,0x80,0x19,0x00,0x00//s4,
  308.   };
  309. unsigned int  Infrared_Time=0;        //红外解码记录的时间
  310. unsigned char Infrared_Step=0;         //解码步骤
  311. unsigned char Infrared_Code[4];        //存放红外编码
  312. unsigned char Infrared_Num=0;                //记录保存了红外编码的多少位
  313. unsigned char Infrared_Flag=0;        //解码成功标志位


  314. unsigned char Dig_data[4];
  315. void DS1302SingleWrite(unsigned char reg, unsigned char dat);
  316. void InitDS1302();
  317. unsigned char DS1302SingleRead(unsigned char reg);

  318. void delay(unsigned char i)
  319. {
  320.   unsigned char j,k;
  321.   for(j=i;j>0;j--)
  322.     for(k=125;k>0;k--);
  323. }

  324. write()
  325. {
  326.   unsigned char i;

  327.    
  328.     DS1302_CE = 0;  //初始化DS1302通信引脚
  329.     DS1302_CK = 0;
  330.   
  331.    
  332.         DS1302SingleWrite(7, 0x00);  //撤销写保护以允许写入数据
  333.         for (i=0; i<7; i++)          //设置DS1302为默认的初始时间
  334.         {
  335.             DS1302SingleWrite(i, InitTime[i]);
  336.         }
  337.    
  338. }
  339. /****** 红外解码代码 begin ******/
  340. void INF_Init(void)
  341. {
  342.         TMOD|=0x10;        //定时器1 16位手动重装
  343.         TH1=0;        //重装值为0  因为定时计数器的数值是累加的
  344.         TL1=0;         //为红外解码记录时间
  345.         ET1=1;        //开定时器1中断
  346.         TR1=1;        //启动定时器1

  347.         IT1=1;         //外部中断0低电平触发

  348.         EX1=1;        //外部中断0使能        
  349.         PX1=1;
  350.                
  351. }

  352. /***********************************
  353. *函数名称:void Time1_isr (void) interrupt 3
  354. *函数功能:定时器1中断服务程序
  355. *参数说明:无
  356. *返回说明:无
  357. *其它说明:无
  358. ***********************************/
  359. void Time1_isr (void) interrupt 3
  360. {
  361.         TH1=0;
  362.         TL1=0;        //手动重装
  363. }
  364. void DelayUs(uint16 N)
  365. {
  366. uint16 x;
  367. for(x=0; x<=N;x++);
  368. }
  369. dis0(uint16 a,b)
  370. {
  371. uint8 i;

  372. for(i=0;i<8;i++)
  373.             {                              
  374.                 P0=~num0[i+8*a];
  375.              P2=~num0[i+8*b];
  376.             
  377.                 DelayUs(speed);
  378.                 P2=0XFF;
  379.               P0=0XFF;

  380.             }
  381. }
  382. dis1(uint8 a)
  383. {
  384. uint8 i;
  385. for(i=0;i<8;i++)
  386.             {   
  387.                                           
  388.                 P0=~num1[2*i+16*a];
  389.              P2=~num1[1+2*i+16*a];
  390.             
  391.                
  392.                 DelayUs(speed);
  393.                 P2=0XFF;
  394.               P0=0XFF;

  395.             }
  396. }
  397. dis2(uint8 a)
  398. {
  399. uint8 i;
  400. uint16 b;
  401. for(i=0;i<64;i++)
  402.             {   
  403.                                          
  404.                 P0=~set[2*i+128*a];
  405.              P2=~set[1+2*i+128*a];
  406.                
  407.                            
  408.                 DelayUs(speed);
  409.                 P2=0XFF;
  410.               P0=0XFF;

  411.             }


  412.             for(i=0;i<8;i++)
  413.             {                              
  414.                 P0=~set[2*i+16*48];
  415.              P2=~set[1+2*i+16*48];
  416.             
  417.                 DelayUs(speed);
  418.                 P2=0XFF;
  419.               P0=0XFF;

  420.             }
  421. switch(a)
  422. {
  423. case 0:b=l;break;
  424. case 1:b=s;break;
  425. case 2:b=speed;break;
  426. case 3:b=(1+ts);break;
  427. case 4:b=speed2;break;
  428. case 5:b=fw;break;

  429. }



  430.                    dis1(b/100%10);
  431.                    dis1(b/10%10);
  432.                    dis1(b%10);


  433. }
  434. dis3(uint8 a)
  435. {
  436. uint8 i;
  437. for(i=0;i<16;i++)
  438.             {                              
  439.                 P0=~wz2[2*i+32*a];
  440.              P2=~wz2[1+2*i+32*a];
  441.             
  442.                 DelayUs(speed);
  443.                 P2=0XFF;
  444.               P0=0XFF;

  445.             }
  446. }



  447. settime()
  448. {
  449. uint8 i;

  450. if(market>1)
  451. {
  452. market--;


  453. for(i=0;i<64;i++)
  454.             {                              
  455.                 P0=~wz4[2*i];
  456.              P2=~wz4[1+2*i];
  457.             
  458.                 DelayUs(speed);
  459.                 P2=0XFF;
  460.               P0=0XFF;

  461.             }
  462. }
  463. if(market==1)
  464. {
  465.         speed=2;
  466.         market=0;
  467. }
  468. if(market==0)
  469. {
  470. if(setbit<13)
  471. {
  472. if(mark==0)
  473. {
  474. ts1();
  475. }
  476. if(mark>1)
  477. {
  478. speed==30;
  479. for(i=0;i<16;i++)
  480.             {                              
  481.                 P0=~wz4[2*i+128+32*dir];
  482.              P2=~wz4[1+2*i+128+32*dir];
  483.             
  484.                 DelayUs(speed);
  485.                 P2=0XFF;
  486.               P0=0XFF;

  487.             }  
  488.                         mark--;
  489.                 dis1(setbit/10%10);
  490.                    dis1(setbit%10);
  491. }
  492. if(mark==1)
  493. {
  494. speed=2;
  495. mark=0;
  496. }
  497. }

  498. if(setbit>=13)
  499. {
  500. fun=1;
  501. setbit=0;
  502. InitTime[6]=str[2]*16+str[3];
  503.                                 InitTime[5]=str[8];
  504.                                 InitTime[4]=str[4]*16+str[5];
  505.                                 InitTime[3]=str[6]*16+str[7];
  506.                                 InitTime[2]=str[9]*16+str[10];
  507.                                 InitTime[1]=str[11]*16+str[12];
  508.                                 InitTime[0]=str[13]*16+str[14];

  509.                                  write();

  510. }

  511. }
  512. }
  513. ir()
  514. {
  515. uint8 i;
  516. for(i=0;i<56;i++)
  517.             {                              
  518.                 P0=~hwm[2*i];
  519.              P2=~hwm[2*i+1];
  520.             
  521.                 DelayUs(speed);
  522.                 P2=0XFF;
  523.               P0=0XFF;

  524.             }

  525.                         dis1(Dig_data[0]);
  526.                         dis1(Dig_data[1]);
  527.                         dis1(Dig_data[2]);
  528.                         dis1(Dig_data[3]);

  529.                         DelayUs(300);
  530.                          for(i=0;i<72;i++)
  531.             {                              
  532.                 P0=~hzh[2*i];
  533.              P2=~hzh[2*i+1];
  534.             
  535.                 DelayUs(speed);
  536.                 P2=0XFF;
  537.               P0=0XFF;

  538.             }
  539.                         
  540. }
  541. dis4()
  542. {
  543. uint8 i;

  544.   rs++;

  545.                  for(i=0;i<32;i++)
  546.             {                              
  547.                 P0=~zs[2*i];
  548.              P2=~zs[2*i+1];
  549.             
  550.                 DelayUs(speed);
  551.                 P2=0XFF;
  552.               P0=0XFF;

  553.             }


  554.   dis1(last/10%10);
  555.   dis1(last%10);
  556.                    for(i=0;i<24;i++)
  557.             {                              
  558.                 P0=~zs[2*i+64];
  559.              P2=~zs[2*i+65];
  560.             
  561.                 DelayUs(speed);
  562.                 P2=0XFF;
  563.               P0=0XFF;

  564.             }
  565. read();
  566. if(psec!=str[14])
  567. {
  568. psec=str[14];
  569. last=rs;
  570. rs=0;
  571. }
  572. }
  573. wz()
  574. {
  575. uint16 i;
  576.   j=j+s;            
  577.             if(j>1860)
  578.             {
  579.                 j=0 ;
  580.             }            
  581.             for(i=j;i<l+j;i++)
  582.             {                              
  583.                 P0=~wz3[i*2];
  584.                 P2=~wz3[i*2+1];
  585.                 DelayUs(speed);
  586.                 P0=0XFF;
  587.                 P2=0XFF;
  588.                                 
  589. }  
  590. }
  591. ts1()
  592. {
  593. dis1(str[0]);
  594. dis1(str[1]);
  595. dis1(str[2]);
  596. dis1(str[3]);
  597. dis3(0);
  598. dis1(str[4]);
  599. dis1(str[5]);
  600. dis3(1);
  601. dis1(str[6]);
  602. dis1(str[7]);
  603. dis3(2);
  604. dis0(21,21);
  605. dis3(3);
  606. dis3(4);
  607. dis3(str[8]+4);
  608. dis0(21,21);
  609. dis0(21,21);
  610. dis1(str[9]);
  611. dis1(str[10]);
  612. dis1(16);
  613. dis1(str[11]);
  614. dis1(str[12]);
  615. dis1(16);
  616. dis1(str[13]);
  617. dis1(str[14]);
  618. dis0(21,21);
  619. dis0(21,21);
  620. dis0(21,21);
  621. dis0(21,21);
  622. }
  623. time()
  624. {



  625. if(ts==0)
  626. {
  627. dis0(str[0],21);
  628. dis0(str[1],21);
  629. dis0(str[2],21);
  630. dis0(str[3],str[9]);
  631. dis0(16,str[10]);
  632. dis0(str[4],17);
  633. dis0(str[5],str[11]);
  634. dis0(16,str[12]);
  635. dis0(str[6],17);
  636. dis0(str[7],str[13]);

  637. dis0(21,str[14]);
  638. dis0(18,21);
  639. dis0(19,21);
  640. dis0(19,21);
  641. dis0(20,21);
  642. dis0(17,21);
  643. dis0(str[8],21);
  644. dis0(21,21);
  645. dis0(21,21);



  646. }
  647. if(ts==1)
  648. {

  649. ts1();
  650. }
  651. if(ts==2)
  652. {
  653. dis1(str[9]);
  654. dis1(str[10]);
  655. dis1(16);
  656. dis1(str[11]);
  657. dis1(str[12]);
  658. dis1(16);
  659. dis1(str[13]);
  660. dis1(str[14]);
  661. dis0(21,21);
  662. dis0(21,21);
  663. dis0(21,21);
  664. dis0(21,21);
  665. }


  666. }

  667. read()
  668. {
  669.   unsigned char i;

  670. unsigned char time[8];    //当前时间数组
  671. for (i=0; i<7; i++)  //读取DS1302当前时间
  672.             {
  673.                 time[i] = DS1302SingleRead(i);
  674.             }
  675.             
  676.                 str[0] = 2;  //添加年份的高2位:20
  677.                 str[1] = 0;
  678.                 str[2] = (time[6] >> 4) ;  //“年”高位数字转换为ASCII码
  679.                 str[3] = (time[6]&0x0F) ;  //“年”低位数字转换为ASCII码
  680.                
  681.                 str[4] = (time[4] >> 4) ;  //“月”
  682.                 str[5] = (time[4]&0x0F) ;
  683.                
  684.                 str[6] = (time[3] >> 4)  ;  //“日”
  685.                 str[7] = (time[3]&0x0F) ;
  686.               
  687.             
  688.                
  689.                 str[8] = (time[5]&0x0F) ;  //“星期”
  690.          
  691.               
  692.                
  693.                 str[9] = (time[2] >> 4) ;  //“时”
  694.                 str[10] = (time[2]&0x0F) ;
  695.                
  696.                 str[11] = (time[1] >> 4) ;  //“分”
  697.                 str[12] = (time[1]&0x0F) ;
  698.               
  699.                 str[13] = (time[0] >> 4) ;  //“秒”
  700.                 str[14] = (time[0]&0x0F) ;
  701.            
  702.                
  703.                
  704.             
  705. }
  706. dis5()
  707. {
  708. dis1(xz/10000%10);
  709. dis1(xz/1000%10);
  710. dis1(xz/100%10);
  711.   dis1(xz/10%10);
  712.    dis1(xz%10);

  713. }
  714. void exint0() interrupt 0       //INT0中断入口
  715. {
  716. xz++;


  717. DelayUs(2*tmp);
  718. tmp=tmp+3*speed2;
  719. if(tmp>fw)
  720. {
  721. tmp=0;
  722. }
  723. if(led0==0)
  724. {
  725.   P0=0;
  726.   P2=0;
  727.   led=0;
  728.   DelayUs(speed);
  729.   P0=0XFF;
  730.   P2=0XFF;
  731.   DelayUs(speed);
  732.   }


  733.   if(led0==1)
  734.   {
  735.   led=0;
  736.   }
  737.   if(led0==2)
  738.   {
  739.   P0=0;
  740.   P2=0;
  741.   led=0;
  742.   DelayUs(speed);
  743.   P0=0XFF;
  744.   P2=0XFF;
  745.   DelayUs(speed);
  746.   }
  747.   if(led0==3)
  748.   {
  749.   led=1;
  750.   }
  751.   switch(fun)

  752.   {
  753.   case 0:wz();break;
  754.   case 1:read();time();break;  
  755.   case 2:ir();break;
  756.   case 3:dis2(type);break;
  757.    case 4:dis4();break;
  758.      case 5:dis0(21,1);dis0(21,3);dis0(21,7);dis0(21,4);dis0(22,3);dis0(22,4);dis0(21,2);dis0(21,9);dis0(21,6);dis0(21,3);break;
  759.   case 6:type=2;P0=0X00;P2=0X00;delay(10*speed);break;
  760.   case 7:dis5();break;
  761.   case 10:settime();break;

  762. }



  763. if(led0==2)
  764. {
  765. P0=0;
  766.   P2=0;
  767.   
  768.   DelayUs(speed);
  769.   P0=0XFF;
  770.   P2=0XFF;
  771. }         
  772. if(led0==0)
  773. {               
  774. P0=0;
  775.   P2=0;
  776.   
  777.   DelayUs(speed);
  778.   P0=0XFF;
  779.   P2=0XFF;
  780.   led=1;
  781.                      
  782. }                  
  783.                     
  784. }


  785. /***********************************
  786. *函数名称:void Int0_isr (void) interrupt 0
  787. *函数功能:外部中断0中断服务程序
  788. *参数说明:无
  789. *返回说明:无
  790. *其它说明:无
  791. ***********************************/
  792. void Int0_isr (void) interrupt 2
  793. {
  794.     Infrared_Time=TH1*256+TL1;   //中断间隔时长
  795.     TH1=0;
  796.     TL1=0;                            //定时计数器重新清零

  797.         if (Infrared_Step==0)
  798.         {
  799.                 if ((Infrared_Time>12000)&&(Infrared_Time<17000))  //起始码 先确定一个大范围 然后逐次逼近
  800.                 {
  801.                         Infrared_Step=1;
  802.                 }
  803.                 else
  804.                 {
  805.                         Infrared_Step=0;
  806.                 }
  807.         }
  808.         else if (Infrared_Step==1)
  809.         {
  810.                 if ((Infrared_Time>1450)&&(Infrared_Time<3000))         //红外编码为比特1 的时间
  811.                 {
  812.                         Infrared_Code[Infrared_Num/8]<<=1;
  813.                         Infrared_Code[Infrared_Num/8]|=0x01;
  814.                         Infrared_Num++;
  815.                 }
  816.                 else if((Infrared_Time>700)&&(Infrared_Time<1450))//红外编码为比特0的时间
  817.                 {
  818.                         Infrared_Code[Infrared_Num/8]<<=1;
  819.                         Infrared_Code[Infrared_Num/8]&=0xfe;
  820.                         Infrared_Num++;
  821.                 }
  822.                
  823.                 if (Infrared_Num>=32)
  824.                 {
  825.                         Infrared_Num=0;
  826.                         Infrared_Step=0;
  827.                         if (Infrared_Code[2]==~Infrared_Code[3])
  828.                         {
  829.                                 Infrared_Flag=1;
  830.                         Dig_data[0]=Infrared_Code[2]>>4;
  831.                         Dig_data[1]=Infrared_Code[2]&0x0f;
  832.                         Dig_data[2]=Infrared_Code[3]>>4;
  833.                         Dig_data[3]=Infrared_Code[3]&0x0f;

  834.                                         if(Dig_data[0]==11&&Dig_data[1]==0&&Dig_data[2]==4&&Dig_data[3]==15)
  835.                         {
  836.                                  l=170,s=1;        speed =8; ts=0;speed2=0;fw=600;tmp=0;        
  837.                                  

  838.                         
  839.                         }
  840.                         
  841.                         if(Dig_data[0]==9&&Dig_data[1]==0&&Dig_data[2]==6&&Dig_data[3]==15)
  842.                         {
  843.                         
  844.                         fun++;if(fun>7&&fun!=10){fun=0;}
  845.         
  846.                         
  847.                         }
  848.                         }                                       
  849.                 }               
  850.         }
  851.         else
  852.         {                                 
  853.                 Infrared_Step=0;
  854.         }
  855. }
  856. /****** 红外解码代码  end ******/
  857. /***********************************
  858. *函数名称:void Sys_Init(void)
  859. *函数功能:上电初始化
  860. *参数说明:无
  861. *返回说明:无
  862. *其它说明:对需要的功能进行必要的初始化
  863. ***********************************/
  864. void Sys_Init(void)
  865. {
  866.         INF_Init();                //红外解码初始化
  867. }

  868.                         /* 发送一个字节到DS1302通信总线上 */
  869. void DS1302ByteWrite(unsigned char dat)
  870. {
  871.     unsigned char mask;
  872.    
  873.     for (mask=0x01; mask!=0; mask<<=1)  //低位在前,逐位移出
  874.     {
  875.         if ((mask&dat) != 0) //首先输出该位数据
  876.             DS1302_IO = 1;
  877.         else
  878.             DS1302_IO = 0;
  879.         DS1302_CK = 1;       //然后拉高时钟
  880.         DS1302_CK = 0;       //再拉低时钟,完成一个位的操作
  881.     }
  882.     DS1302_IO = 1;           //最后确保释放IO引脚
  883. }
  884. /* 由DS1302通信总线上读取一个字节 */
  885. unsigned char DS1302ByteRead()
  886. {
  887.     unsigned char mask;
  888.     unsigned char dat = 0;
  889.    
  890.     for (mask=0x01; mask!=0; mask<<=1)  //低位在前,逐位读取
  891.     {
  892.         if (DS1302_IO != 0)  //首先读取此时的IO引脚,并设置dat中的对应位
  893.         {
  894.             dat |= mask;
  895.         }
  896.         DS1302_CK = 1;       //然后拉高时钟
  897.         DS1302_CK = 0;       //再拉低时钟,完成一个位的操作
  898.     }
  899.     return dat;              //最后返回读到的字节数据
  900. }
  901. /* 用单次写操作向某一寄存器写入一个字节,reg-寄存器地址,dat-待写入字节 */
  902. void DS1302SingleWrite(unsigned char reg, unsigned char dat)
  903. {
  904.     DS1302_CE = 1;                   //使能片选信号
  905.     DS1302ByteWrite((reg<<1)|0x80);  //发送写寄存器指令
  906.     DS1302ByteWrite(dat);            //写入字节数据
  907.     DS1302_CE = 0;                   //除能片选信号
  908. }
  909. /* 用单次读操作从某一寄存器读取一个字节,reg-寄存器地址,返回值-读到的字节 */
  910. unsigned char DS1302SingleRead(unsigned char reg)
  911. {
  912.     unsigned char dat;
  913.    
  914.     DS1302_CE = 1;                   //使能片选信号
  915.     DS1302ByteWrite((reg<<1)|0x81);  //发送读寄存器指令
  916.     dat = DS1302ByteRead();          //读取字节数据
  917.     DS1302_CE = 0;                   //除能片选信号
  918.    
  919.     return dat;
  920. }
  921. /* DS1302初始化,如发生掉电则重新设置初始时间 */
  922. void InitDS1302()
  923. {
  924.     unsigned char i;

  925.    
  926.     DS1302_CE = 0;  //初始化DS1302通信引脚
  927.     DS1302_CK = 0;
  928.     i = DS1302SingleRead(0);  //读取秒寄存器
  929.     if ((i & 0x80) != 0)      //由秒寄存器最高位CH的值判断DS1302是否已停止
  930.     {
  931.         DS1302SingleWrite(7, 0x00);  //撤销写保护以允许写入数据
  932.         for (i=0; i<7; i++)          //设置DS1302为默认的初始时间
  933.         {
  934.             DS1302SingleWrite(i, InitTime[i]);
  935.         }
  936.     }
  937. }


  938. /***********************************
  939. *函数名称:void main(void)
  940. *函数功能:主函数
  941. *参数说明:无
  942. *返回说明:无
  943. *其它说明:整个程序的入口
  944. ***********************************/
  945. void main(void)
  946. {
  947.         
  948.         Sys_Init();                //上电初始化
  949.            INT0 = 1;
  950.     IT0 = 1;                    //设置INT0的中断类型 (1:仅下降沿 0:上升沿和下降沿)
  951.     EX0 = 1;                    //使能INT0中断
  952.     EA = 1;
  953.           InitDS1302();     //初始化实时时钟

  954.         while(1)
  955.         {
  956.         
  957.                 if (Infrared_Flag)                //成功接受到红外编码并成功解码
  958.                 {
  959.                         led=~led;
  960.                         Infrared_Flag=0;        //清零 防止重复进入
  961.                
  962.                                 if(Dig_data[0]==6&&Dig_data[1]==2&&Dig_data[2]==9&&Dig_data[3]==13)
  963.                         {led0++;if(led0>3){led0=0;}}
  964.                                 if(Dig_data[0]==12&&Dig_data[1]==2&&Dig_data[2]==3&&Dig_data[3]==13)
  965.                         {
  966.                         if(fun!=1)
  967.                         {
  968.                         type++;if(type>5){type=0;}
  969.                         }
  970.                         if(fun==1)
  971.                         {
  972.                         fun=10;
  973.                         speed=12;
  974.                         market=15;
  975.                         setbit =0;
  976.                         }
  977.                         }

  978.                                  if(Dig_data[0]==10&&Dig_data[1]==8&&Dig_data[2]==5&&Dig_data[3]==7&&type==0)
  979.                         {l=l+10;if(l>900){l=900;}}           

  980.                                   if(Dig_data[0]==14&&Dig_data[1]==0&&Dig_data[2]==1&&Dig_data[3]==15&&type==0)
  981.                         {l=l-10;if(l<10){l=10;}}        

  982.                                          if(Dig_data[0]==10&&Dig_data[1]==8&&Dig_data[2]==5&&Dig_data[3]==7&&type==1)
  983.                         {s++;if(s>20){s=20;}}           

  984.                                   if(Dig_data[0]==14&&Dig_data[1]==0&&Dig_data[2]==1&&Dig_data[3]==15&&type==1&&s>0)
  985.                         {s--;}        


  986.                                          if(Dig_data[0]==10&&Dig_data[1]==8&&Dig_data[2]==5&&Dig_data[3]==7&&type==2)
  987.                         {speed++;if(speed>100){speed=100;}}           

  988.                                   if(Dig_data[0]==14&&Dig_data[1]==0&&Dig_data[2]==1&&Dig_data[3]==15&&type==2&&speed>0)
  989.                         {speed--;}        
  990.                                 
  991.                                 
  992.                                 if(Dig_data[0]==9&&Dig_data[1]==8&&Dig_data[2]==6&&Dig_data[3]==7)
  993.                         {j=j+100;}
  994.                         
  995.                         
  996.                         
  997.                                  if(Dig_data[0]==10&&Dig_data[1]==8&&Dig_data[2]==5&&Dig_data[3]==7&&type==3)
  998.                         {ts++;if(ts>2){ts=0;}if(ts==1){speed=2;}}           

  999.                                   if(Dig_data[0]==14&&Dig_data[1]==0&&Dig_data[2]==1&&Dig_data[3]==15&&type==3)
  1000.                         {
  1001.                         if(ts==255)
  1002.                         {
  1003.                         ts=2;
  1004.                         }
  1005.                         if(ts>0)
  1006.                         {
  1007.                         ts--;
  1008.                         }
  1009.                         if(ts==1){speed=2;}

  1010.                         }        
  1011.                                                                                           
  1012.                         

  1013.                                     if(Dig_data[0]==0&&Dig_data[1]==2&&Dig_data[2]==15&&Dig_data[3]==13)
  1014.                         {tmp=tmp+30;if(tmp>960){speed=960;}}           

  1015.                                   if(Dig_data[0]==2&&Dig_data[1]==2&&Dig_data[2]==13&&Dig_data[3]==13)
  1016.                         {
  1017.                         
  1018.                         if(tmp>30)
  1019.                         {
  1020.                         tmp=tmp-30;
  1021.                         }
  1022.                         else
  1023.                         {
  1024.                         tmp=0;
  1025.                         }
  1026.                         
  1027.                         }        




  1028.         if(Dig_data[0]==10&&Dig_data[1]==8&&Dig_data[2]==5&&Dig_data[3]==7&&type==4)
  1029.                         {speed2++;if(speed2>20){speed2=20;}}           

  1030.                                   if(Dig_data[0]==14&&Dig_data[1]==0&&Dig_data[2]==1&&Dig_data[3]==15&&type==4&&speed2>0)
  1031.                         {speed2--;}
  1032.                         
  1033.                         
  1034.                         if(Dig_data[0]==10&&Dig_data[1]==8&&Dig_data[2]==5&&Dig_data[3]==7&&type==5)
  1035.                         {fw=fw+30;if(fw>990){fw=990;}}           

  1036.                                   if(Dig_data[0]==14&&Dig_data[1]==0&&Dig_data[2]==1&&Dig_data[3]==15&&type==5&&fw>30)
  1037.                         {fw=fw-30;}               
  1038.                         
  1039.                
  1040.                                 if(Dig_data[0]==14&&Dig_data[1]==2&&Dig_data[2]==1&&Dig_data[3]==13&&fun==10)
  1041.                         {setbit++;mark=10;dir=0;}               

  1042.                                  if(Dig_data[0]==10&&Dig_data[1]==2&&Dig_data[2]==5&&Dig_data[3]==13&&fun==10&&setbit>0)
  1043.                         {setbit--;mark=10;dir=1;}        
  1044.                          if(fun==10)
  1045.                          {
  1046.                                 if(Dig_data[0]==6&&Dig_data[1]==8&&Dig_data[2]==9&&Dig_data[3]==7&&fun==10)
  1047.                         {irdata=0;        str[setbit+2]=irdata;}        

  1048. if(Dig_data[0]==3&&Dig_data[1]==0&&Dig_data[2]==12&&Dig_data[3]==15&&fun==10)
  1049.                         {irdata=1;        str[setbit+2]=irdata;}        

  1050.                         if(Dig_data[0]==1&&Dig_data[1]==8&&Dig_data[2]==14&&Dig_data[3]==7&&fun==10)
  1051.                         {irdata=2;        str[setbit+2]=irdata;}        


  1052.                         if(Dig_data[0]==7&&Dig_data[1]==10&&Dig_data[2]==8&&Dig_data[3]==5&&fun==10)
  1053.                         {irdata=3;        str[setbit+2]=irdata;}        

  1054.                         if(Dig_data[0]==1&&Dig_data[1]==0&&Dig_data[2]==14&&Dig_data[3]==15&&fun==10)
  1055.                         {irdata=4;        str[setbit+2]=irdata;}        

  1056.                         if(Dig_data[0]==3&&Dig_data[1]==8&&Dig_data[2]==12&&Dig_data[3]==7&&fun==10)
  1057.                         {irdata=5;        str[setbit+2]=irdata;}

  1058.                         if(Dig_data[0]==5&&Dig_data[1]==10&&Dig_data[2]==10&&Dig_data[3]==5&&fun==10)
  1059.                         {irdata=6;        str[setbit+2]=irdata;}
  1060.                         
  1061.                         if(Dig_data[0]==4&&Dig_data[1]==2&&Dig_data[2]==11&&Dig_data[3]==13&&fun==10)
  1062.                         {irdata=7;        str[setbit+2]=irdata;}        
  1063.                         
  1064.                         if(Dig_data[0]==4&&Dig_data[1]==10&&Dig_data[2]==11&&Dig_data[3]==5&&fun==10)
  1065.                         {irdata=8;        str[setbit+2]=irdata;}
  1066.                         
  1067.                         if(Dig_data[0]==5&&Dig_data[1]==2&&Dig_data[2]==10&&Dig_data[3]==13&&fun==10)
  1068.                         {irdata=9;        str[setbit+2]=irdata;}                                

  1069.                

  1070.                    }

  1071.                 }
  1072.                
  1073.         }               
  1074. }
复制代码

                                                               

评分

参与人数 7黑币 +132 收起 理由
18925405284 + 8 绝世好帖!
zkele + 8 赞一个!很给力!
123一起走 + 5 很给力!
ltpzm + 5 很给力!
全力 + 1 赞一个!
炎炎火火 + 5 很给力!
admin + 100 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:140644 发表于 2017-8-23 14:03 | 显示全部楼层
辛苦,辛苦。
回复

使用道具 举报

ID:279587 发表于 2018-1-25 12:31 | 显示全部楼层
想看详细一点的实物图
回复

使用道具 举报

ID:271385 发表于 2018-1-25 16:16 | 显示全部楼层
很给力
回复

使用道具 举报

ID:73957 发表于 2018-1-25 17:00 | 显示全部楼层
不错的diy
回复

使用道具 举报

ID:252768 发表于 2018-4-10 20:38 | 显示全部楼层
楼主很给力
回复

使用道具 举报

ID:313953 发表于 2018-4-26 00:22 来自手机 | 显示全部楼层
楼主您好啊,可以加下QQ请教一下嘛
回复

使用道具 举报

ID:183450 发表于 2018-5-27 23:20 | 显示全部楼层
萌芯一枚 发表于 2018-4-26 00:22
楼主您好啊,可以加下QQ请教一下嘛

QQ:1374342963 互相学习
回复

使用道具 举报

ID:323182 发表于 2018-6-2 16:21 | 显示全部楼层
厉害
回复

使用道具 举报

ID:517951 发表于 2020-11-15 10:42 | 显示全部楼层
很炫的作品
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表