找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 13624|回复: 25
收起左侧

51单片机GPS经纬度信息发送与显示的实物制作与源程序

  [复制链接]
ID:243507 发表于 2017-11-1 21:49 | 显示全部楼层 |阅读模式
利用GPS北斗ATGM336H-5N模块输出的原始定位信息,发到单片机后进行处理,提取有用的信息如经纬度、速度、海拔、日期等,通过串口发到电脑的串口调试助手进行显示,并显示到LCD屏幕。
12.jpg

程序的功能有GPS信息显示与发送(LCD显示经纬度、海拔、速度,电脑串口接收时间、日期、经纬度、海拔、速度数据)
GPS原始数据捕获(从一开始通电时不完整到过一会后完整)见附件
GPS原始数据捕获与简单分析:
$GNGGA,120726.000,3208.0858,N,11841.7828,E,1,05,4.3,12.7,M,0.0,M,,*40
$GNGLL,3208.0858,N,11841.7828,E,120726.000,A,A*43
$GPGSA,A,3,12,25,,,,,,,,,,,6.9,4.3,5.4*3F
$BDGSA,A,3,10,04,07,,,,,,,,,,6.9,4.3,5.4*28
$GPGSV,3,1,12,04,,,21,10,66,313,,12,42,100,34,14,21,289,*49
$GPGSV,3,2,12,15,18,086,,18,79,174,27,20,13,143,24,21,18,203,*73
$GPGSV,3,3,12,24,40,042,,25,37,150,31,31,10,230,,32,33,301,*7A
$BDGSV,1,1,04,03,,,35,04,32,122,34,07,54,184,34,10,33,200,36*50
$GNRMC,120726.000,A,3208.0858,N,11841.7828,E,0.11,303.11,031017,,,A*70
$GNVTG,303.11,T,,M,0.11,N,0.20,K,A*21
$GNZDA,120726.000,03,10,2017,00,00*4E
$GPTXT,01,01,01,ANTENNA OK*35

原始数据中逗号数量和顺序的统计:
--------------------------
      1          2         3 4          5 6 7  8   9   a b   c d                        
$GNGGA,034214.000,3208.1201,N,11841.7167,E,1,07,1.7,7.7,M,0.0,M,,*7 OK*
      1          2 3         4 5          6 7    8      9      abc                     
$GNRMC,034214.000,A,3208.1201,N,11841.7167,E,2.71,336.76,041017,,,A*700
--------------------------

GPS原始数据及处理后的数据
(见附件)

单片机源程序如下:
  1. /*******************************************************************************
  2.         单片机的RXD端口接收来自GPS模块的数据,把数据进行处理,并显示到Lcd上,同时
  3. 通过TXD端口经过USB-TTL模块发送到电脑的串口调试软件上显示。
  4.         注意事项:
  5.         1、串口调试软件的波特率要设为9600;
  6.         2、单片机与USB-TTL模块两者要共地!!!(我也不知为什么,摊手.jpg)
  7. *******************************************************************************/
  8. #include "reg52.h"
  9. #include "main.h"
  10. #include "LCD1602.h"
  11. #include "GPS.h"

  12. unsigned char xdata Display_GPGGA_Buffer[68]={0};                //用于储存GPGGA的数据
  13. unsigned char xdata Display_GPRMC_Buffer[68]={0};                //用于储存GPRMC的数据
  14. unsigned int k=0,qian_a=0,hou_a=0,qian_b=0,hou_b=0,qian_c=0,hou_c=0;        //存储前后逗号的位置序号的变量
  15. bit qian_OK=0;                   //已找出前面的逗号的标志变量
  16. bit First_Share_OK=0;  //已开始LCD显示的标志变量
  17. bit jiajian=1;                    //进行加或减的标志变量
  18. //bit Page = 0;
  19. bit Flag_OV = 0;           //日期需进位的标志变量
  20. bit Flag_Calc_GPGGA_OK = 0;           //GPGGA完整有效的数据已收到的标志变量
  21. bit Flag_Calc_GPRMC_OK = 0;           //GPRMC完整有效的数据已收到的标志变量

  22. //****************************************************
  23. //主函数
  24. //****************************************************
  25. void main()
  26. {
  27.         unsigned int i = 0;
  28.         unsigned int j=0;
  29.         Init_LCD1602();                                                                        //LCD初始化
  30.         LCD1602_write_com(0x80);                                                //LCD指针设置到第一行第一列
  31.         LCD1602_write_word("Searching data. ");                        //显示正在搜索数据

  32.         Uart_Init();                                                                        //串口初始化

  33.         while(1)
  34.         {
  35. //                Scan_Key();
  36.                 qian_OK=0;                                                                        //标志变量归零
  37.                 k=0;                                                                                //累计量归零

  38.                 if (First_Share_OK==0)                                  //让变量j在0~10000之间来回增减,增加到10000时显示". ",减到0时显示" .",实现两点来回闪动
  39.                 {
  40.                         if (jiajian==1)
  41.                         {
  42.                                 j++;
  43.                                 if (j==10000)
  44.                                 {
  45.                                         jiajian=0;
  46.                                         LCD1602_write_com(0x8e);
  47.                                         LCD1602_write_data('.');
  48.                                         LCD1602_write_com(0x8f);
  49.                                         LCD1602_write_data(' ');               
  50.                                 }
  51.                         }
  52.                         else
  53.                         {
  54.                                 j--;
  55.                                 if (j==0)
  56.                                 {
  57.                                         jiajian=1;
  58.                                         LCD1602_write_com(0x8e);
  59.                                         LCD1602_write_data(' ');
  60.                                         LCD1602_write_com(0x8f);
  61.                                         LCD1602_write_data('.');
  62.                                 }
  63.                         }        
  64.                 }

  65. //代码详见附件
  66. //                {
  67. //                        UartPrintf("----------------------------------------------\r\n");
  68. //                        UartPrintf(RX_Buffer);
  69. //                        UartPrintf("\r\n");
  70. //                }        
  71.                         

  72.                 if (   Flag_GPS_OK  == 1
  73.                            && RX_Buffer[1] == 'G'
  74.                         && RX_Buffer[3] == 'G'
  75.                         && RX_Buffer[4] == 'G'
  76.                         && RX_Buffer[5] == 'A'
  77.                         && (RX_Buffer[28] == 'N'|| RX_Buffer[28] == 'S')
  78.                         && (RX_Buffer[41] == 'E'|| RX_Buffer[41] == 'W') )                //确认是否收到"GPGGA"这一帧完整有效的数据
  79.                 {
  80.                         for( i = 0; i < 67 ; i++)                                                  //必须为i<67,因为要确保Display_GPGGA_Buffer[67]为'\0',便于串口发送
  81.                         {
  82.                                 Display_GPGGA_Buffer[i] = RX_Buffer[i];                  //储存到数组中
  83.                         }
  84.                         Flag_Calc_GPGGA_OK = 1;                                                          //收到完整有效数据后,置为1
  85.                 }

  86.                 if(Flag_Calc_GPGGA_OK == 1)                                                          //已收到完整有效的数据,可以进行显示,
  87.                 {
  88.                         Flag_Calc_GPGGA_OK = 0;                                                          //标志位归零

  89.                         if (First_Share_OK == 0)
  90.                         {
  91.                                 First_Share_OK=1;                                                          //"Searching data. "不需再显示,标志变量置为1
  92.                                 LCD1602_write_com(0x01);                                          //先清屏
  93.                         }
  94.         
  95.                         LCD1602_write_com(0x80);                                       
  96.                         LCD1602_write_data(Display_GPGGA_Buffer[28]);                        //显示 N 或者 S
  97.                         LCD1602_write_word(": ");
  98.                         LCD1602_write_data(Display_GPGGA_Buffer[18]);                        //纬度
  99.                         LCD1602_write_data(Display_GPGGA_Buffer[19]);                        
  100.                         LCD1602_write_data(0xdf);                                                                //度符号的ASCII码,16进制
  101.                         LCD1602_write_data(Display_GPGGA_Buffer[20]);                        //纬度
  102.                         LCD1602_write_data(Display_GPGGA_Buffer[21]);                        
  103.                         LCD1602_write_word("'");                                                                //秒

  104.                         for (i=0;i<68;i++)                                                  //由于海拔有时是1位数有时是2位数有时是3位数,不定
  105.                         {                                                                                  //而确定的是其数值在第9与第10个逗号之间,所以用此算法输出海拔
  106.                                 if ( Display_GPGGA_Buffer[i]==',' )          //逐个检索
  107.                                         k++;                                                          //k计算逗号数量
  108.                                 if (k==9&&qian_OK==0)
  109.                                         qian_a=i,qian_OK=1;                                  //找到第9个,则记录其序号,同时标志变量置为1
  110.                                 if (k==10)                                                          //海拔数据位于第9~10个逗号之间
  111.                                 {
  112.                                         hou_a=i;                                                  //记录第10个的序号
  113.                                         k=0;                                                           //归零
  114.                                         qian_OK=0;                                                  //重置为0
  115.                                         break;                                                          //找到后直接跳出循环
  116.                                 }               
  117.                         }
  118.                         LCD1602_write_com(0x89);                                                  //准备在第一行第9列输出海拔数据
  119.                         switch(hou_a-qian_a-1)                                                        //计算海拔数据的长度
  120.                         {
  121.                                 case 3:LCD1602_write_word("   ");break;                //根据海拔数据长度输出对应所需的空格数,使其显示方式为右对齐
  122.                                 case 4:LCD1602_write_word("  ");break;
  123.                                 case 5:LCD1602_write_word(" ");break;
  124.                                 case 6:break;
  125.                                 case 7:break;
  126.                         }                        
  127.                         for (i=qian_a+1; i<=hou_a-1; i++)
  128.                                 LCD1602_write_data(Display_GPGGA_Buffer[i]);           //输出海拔               
  129.                         LCD1602_write_data('m');                                                           //显示单位

  130.                         
  131.                         LCD1602_write_com(0xc0);                                                                //在第2行第1列开始输出经度
  132.                         LCD1602_write_data(Display_GPGGA_Buffer[41]);                          //输出E 或者 W
  133.                         LCD1602_write_data(':');
  134.                         LCD1602_write_data(Display_GPGGA_Buffer[30]);                        //经度
  135.                         LCD1602_write_data(Display_GPGGA_Buffer[31]);        
  136.                         LCD1602_write_data(Display_GPGGA_Buffer[32]);        
  137.                         LCD1602_write_data(0xdf);                                                               
  138.                         LCD1602_write_data(Display_GPGGA_Buffer[33]);                        
  139.                         LCD1602_write_data(Display_GPGGA_Buffer[34]);               
  140.                         LCD1602_write_word("'");


  141.                         UartPrintf("----------------------------------------------\r\n");                 //串口发送数据到电脑
  142.                         UartPrintf("Original data(1):\r\n");
  143.                         UartPrintf(Display_GPGGA_Buffer);                                                                                 //直接把首地址作为实参
  144.                         UartPrintf("......\r\n");                                                                                                

  145.                         UartPrintf("Processed data(1): \r\n");
  146.                         UartPrintData(Display_GPGGA_Buffer[28]);                        //N 或者 S
  147.                         UartPrintf(":  ");
  148.                         UartPrintData(Display_GPGGA_Buffer[18]);                        //发送纬度
  149.                         UartPrintData(Display_GPGGA_Buffer[19]);               
  150.                         UartPrintData('*');                                                                        //串口无法发送扩展的ASCII码(大于127),所以用*代替度数符号
  151.                         UartPrintData(Display_GPGGA_Buffer[20]);                        
  152.                         UartPrintData(Display_GPGGA_Buffer[21]);               
  153.                         UartPrintData('\'');
  154.                         UartPrintf("\r\n");                                                                        //发送回车换行
  155.                         
  156.                         UartPrintData(Display_GPGGA_Buffer[41]);                        //E 或者 W
  157.                         UartPrintf(": ");
  158.                         UartPrintData(Display_GPGGA_Buffer[30]);               
  159.                         UartPrintData(Display_GPGGA_Buffer[31]);
  160.                         UartPrintData(Display_GPGGA_Buffer[32]);
  161.                         UartPrintData('*');                        
  162.                         UartPrintData(Display_GPGGA_Buffer[33]);                        //发送经度
  163.                         UartPrintData(Display_GPGGA_Buffer[34]);
  164.                         UartPrintData('\'');        
  165.                         UartPrintf("\r\n");

  166.                         UartPrintf("Altitude: ");
  167.                         for (i=qian_a+1; i<=hou_a-1; i++)                                        //发送海拔
  168.                                 UartPrintData(Display_GPGGA_Buffer[i]);                        
  169.                         UartPrintf(" m");                                                                        
  170.                         UartPrintf("\r\n");
  171.                                                                
  172.                 }

  173. //  这部分代码详见附件

  174. && RX_Buffer[1] == 'G'&& RX_Buffer[3] == 'R'&& RX_Buffer[4] == 'M'&& RX_Buffer[5] == 'C')
  175. //                {
  176. //                        UartPrintf(RX_Buffer);
  177. //                        UartPrintf("\r\n");
  178. //                }        

  179.                
  180.                 if(   Flag_GPS_OK  == 1
  181.                    && RX_Buffer[1] == 'G'
  182.                    && RX_Buffer[3] == 'R'
  183.                    && RX_Buffer[4] == 'M'
  184.                    && RX_Buffer[5] == 'C'
  185.                    && RX_Buffer[18]== 'A')                //确认是否收到"GPRMC"这一帧完整有效的数据
  186.                 {
  187.                         for( i = 0; i < 67 ; i++)
  188.                         {
  189.                                 Display_GPRMC_Buffer[i] = RX_Buffer[i];        
  190.                         }
  191.                           
  192.                         Flag_Calc_GPRMC_OK = 1;
  193.                         
  194.                         Hour = (Display_GPRMC_Buffer[7]-0x30)*10+(Display_GPRMC_Buffer[8]-0x30)+8;        //UTC时间转换到北京时间(东八区),0x30即48
  195.                         if( Hour >= 24)                                //如果溢出
  196.                         {
  197.                                 Hour %= 24;                                //获取实际Hour
  198.                                 Flag_OV = 1;                        //日期进位的标志变量置为1
  199.                         }
  200.                         else
  201.                         {
  202.                                 Flag_OV = 0;
  203.                         }
  204.                         Min_High = Display_GPRMC_Buffer[9];
  205.                         Min_Low = Display_GPRMC_Buffer[10];                           //获取时间数据
  206.                         Sec_High = Display_GPRMC_Buffer[11];
  207.                         Sec_Low = Display_GPRMC_Buffer[12];

  208.                         for (i=0;i<68;i++)                //由于日期数据前面的速度数据也是位数不定,所以速度数据位数也不定,故亦用此算法精确地确定日期数据位置
  209.                         {
  210.                                 if ( Display_GPRMC_Buffer[i]==',' )
  211.                                         k++;
  212.                                 if (k==9&&qian_OK==0)                                                            //日期数据位于第9~10个逗号之间
  213.                                         qian_b=i,qian_OK=1;
  214.                                 if (k==10)
  215.                                 {
  216.                                         hou_b=i;
  217.                                         k=0;
  218.                                         qian_OK=0;
  219.                                         break;
  220.                                 }               
  221.                         }
  222.                         Year_High = Display_GPRMC_Buffer[qian_b+5];                                //获取日期
  223.                         Year_Low = Display_GPRMC_Buffer[qian_b+6];
  224.                         Month_High = Display_GPRMC_Buffer[qian_b+3];
  225.                         Month_Low = Display_GPRMC_Buffer[qian_b+4];
  226.                         Day_High = Display_GPRMC_Buffer[qian_b+1];
  227.                         Day_Low = Display_GPRMC_Buffer[qian_b+2];
  228.                         if(Flag_OV == 1)                                                                                //如果日期须进位
  229.                         {
  230.                                 UTCDate2LocalDate();                                                                //UTC日期进位并转换为北京时间               
  231.                         }
  232.                 }

  233.                 if(Flag_Calc_GPRMC_OK == 1)                            //GPRMC数据完整有效则显示
  234.                 {
  235.                         Flag_Calc_GPRMC_OK = 0;

  236.                         if (First_Share_OK == 0)
  237.                         {
  238.                                 First_Share_OK=1;
  239.                                 LCD1602_write_com(0x01);
  240.                         }

  241.                         for (i=0;i<68;i++)                                                                    //由于速度数据也是位数不定,故亦用此算法精确地确定速度数据位置
  242.                         {
  243.                                 if ( Display_GPRMC_Buffer[i]==',' )
  244.                                         k++;
  245.                                 if (k==7&&qian_OK==0)                                                  //速度数据位于第7~8个逗号之间
  246.                                         qian_c=i,qian_OK=1;
  247.                                 if (k==8)
  248.                                 {
  249.                                         hou_c=i;
  250.                                         k=0;
  251.                                         qian_OK=0;
  252.                                         break;
  253.                                 }               
  254.                         }
  255.                         LCD1602_write_com(0xc9);                                                       //准备在第2行第9列显示
  256.                         switch(hou_c-qian_c-2)                                                            //原式为(hou_c-1)-qian_c-1。由于速度不太准还浮动,故只让它显示一位小数
  257.                         {
  258.                                 case 3:LCD1602_write_word(" ");break;                    //使右对齐
  259.                                 case 4:break;
  260.                                 case 5:break;
  261.                         }                        
  262.                         for (i=qian_c+1; i<=hou_c-2; i++)                                        //(原式为hou_c-1-1)
  263.                                 LCD1602_write_data(Display_GPRMC_Buffer[i]);        //显示速度                        
  264.                         LCD1602_write_word("m/s");                                                        //显示单位

  265.                         UartPrintf("\r\n");
  266.                         UartPrintf("Original data(2):\r\n");
  267.                         UartPrintf(Display_GPRMC_Buffer);
  268.                         UartPrintf("......\r\n");

  269.                         UartPrintf("Processed data(2): \r\n");
  270.                         UartPrintf("Time: ");
  271.                         UartPrintData(Hour/10+0x30);                                          //串口发送时间
  272.                         UartPrintData(Hour%10+0x30);
  273.                         UartPrintData(':');
  274.                         UartPrintData(Min_High);
  275.                         UartPrintData(Min_Low);
  276.                         UartPrintData(':');        
  277.                         UartPrintData(Sec_High);                                                  
  278.                         UartPrintData(Sec_Low);
  279.                         UartPrintf("\r\n");


  280.                          UartPrintf("Date: ");                                                                        //发送日期
  281.                         UartPrintf("20");
  282.                         UartPrintData(Display_GPRMC_Buffer[qian_b+5]);
  283.                         UartPrintData(Display_GPRMC_Buffer[qian_b+6]);
  284.                         UartPrintData('-');        
  285.                         UartPrintData(Display_GPRMC_Buffer[qian_b+3]);
  286.                         UartPrintData(Display_GPRMC_Buffer[qian_b+4]);
  287.                         UartPrintData('-');        
  288.                         UartPrintData(Display_GPRMC_Buffer[qian_b+1]);
  289.                         UartPrintData(Display_GPRMC_Buffer[qian_b+2]);
  290.                         UartPrintf("\r\n");                                                                        

  291.                         UartPrintf("Speed: ");
  292.                         for (i=qian_c+1; i<=hou_c-1; i++)
  293.                                 UartPrintData(Display_GPRMC_Buffer[i]);                        
  294.                         UartPrintf(" m/s\r\n");                                                                        //发送速度,2位小数都发
  295.                         UartPrintf("----------------------------------------------\r\n");//分隔符
  296.                                        
  297.                 }
  298.         
  299.         }
  300. }

  301. //****************************************************
  302. //UTC日期与当地日期转换
  303. //****************************************************
  304. void UTCDate2LocalDate(void)
  305. {
  306.         Day = (Day_High - 0x30) * 10 + (Day_Low-0x30) + 1;                //日期进一
  307.         Month = (Month_High - 0x30) * 10 + (Month_Low - 0x30);
  308.         Year = 2000 + (Year_High - 0x30) * 10 + (Year_Low - 0x30);
  309.         
  310.         MaxDay = GetMaxDay(Month,Year);                                //获取当月天数最大值
  311.         if(Day > MaxDay)                //如果溢出
  312.         {
  313.                 Day = 1;
  314.                 Month += 1;
  315.                 if(Month > 12)
  316.                 {
  317.                         Year+=1;
  318.                 }
  319.         }

  320.         Day_High = Day/10 + 0x30;                                //转换日期值为ASCII
  321.         Day_Low = Day%10 + 0x30;

  322.         Month_High = Month/10 + 0x30;                        
  323.         Month_Low = Month%10 + 0x30;

  324.         Year_High = Year%100/10 + 0x30;               
  325.         Year_Low = Year%10 + 0x30;                        
  326. }

  327. //****************************************************
  328. //获取当月日期最大值
  329. //****************************************************
  330. unsigned char GetMaxDay(unsigned char Month_Value,unsigned int Year_Value)
  331. {
  332.         unsigned char iDays;
  333.         switch(Month_Value)
  334.         {
  335.                 case 1:
  336.                 case 3:
  337.                 case 5:
  338.                 case 7:
  339.                 case 8:
  340.                 case 10:
  341.                 case 12:
  342.                         {
  343.                                 iDays = 31;
  344.                         }
  345.                         break;
  346.                 case 2:
  347.                         {
  348.                                 //2月份比较特殊,需要根据是不是闰年来判断当月是28天还29天
  349.                                 iDays = IsLeapYear(Year_Value) ? 29 : 28 ;
  350.                         }
  351.                         break;
  352.                 case 4:
  353.                 case 6:
  354.                 case 9:
  355.                 case 11:
  356.                         {
  357.                                 iDays = 30;
  358.                         }
  359. ……………………

  360. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码


所有资料51hei提供下载:
GPS(LCD,USart).zip (101.7 KB, 下载次数: 355)

评分

参与人数 2黑币 +95 收起 理由
czc + 5
admin + 90 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:246166 发表于 2017-11-8 18:34 | 显示全部楼层
楼主太强了,学习学习
回复

使用道具 举报

ID:273006 发表于 2018-1-8 09:17 | 显示全部楼层
好东西 学习学习
回复

使用道具 举报

ID:276940 发表于 2018-1-17 12:38 | 显示全部楼层
太赞了楼主
回复

使用道具 举报

ID:306790 发表于 2018-4-12 20:36 | 显示全部楼层
楼主,有急用,能分享下吗
回复

使用道具 举报

ID:65956 发表于 2018-9-27 17:00 | 显示全部楼层
曾经也作了一个,还是汇编版的,本想改成C版的,可是一直没时间处理
回复

使用道具 举报

ID:397866 发表于 2018-9-28 11:58 | 显示全部楼层
什么时候能跟上楼主
回复

使用道具 举报

ID:428487 发表于 2018-11-17 23:20 | 显示全部楼层
很棒。学习一下
回复

使用道具 举报

ID:406753 发表于 2018-11-24 16:09 | 显示全部楼层
楼主有没有仿真
回复

使用道具 举报

ID:243507 发表于 2018-12-27 02:34 | 显示全部楼层

GPS哪能仿真鸭
回复

使用道具 举报

ID:469310 发表于 2019-2-22 10:56 来自手机 | 显示全部楼层
怎么能让其显示在彩屏上
回复

使用道具 举报

ID:481858 发表于 2019-2-27 22:38 | 显示全部楼层

楼主太强了,学习学习
回复

使用道具 举报

ID:495287 发表于 2019-3-25 08:30 | 显示全部楼层
谢谢楼主分享,还没法下载。
回复

使用道具 举报

ID:526963 发表于 2019-5-5 14:45 | 显示全部楼层
楼主的分享非常有用,可是我按这个程序做出来,串口接收到的还是这种$GNGGA,034214.000,3208.1201,N,11841.7167,E,1,07,1.7,7.7,M,0.0,M,,*7 OK*形式的数据,这是什么原因呢麻烦楼主指点一下下
回复

使用道具 举报

ID:47390 发表于 2019-7-6 12:08 | 显示全部楼层
文章不错,就是要的积分有点高!!!
回复

使用道具 举报

ID:47390 发表于 2019-7-6 12:24 | 显示全部楼层
看了一下发表的部分,非常不错,学习了!
回复

使用道具 举报

ID:399179 发表于 2019-7-7 20:44 来自手机 | 显示全部楼层
这个真不错!
回复

使用道具 举报

ID:511461 发表于 2019-10-16 20:58 | 显示全部楼层
请问楼主能发一下原理图吗
回复

使用道具 举报

ID:529285 发表于 2019-10-29 14:30 | 显示全部楼层
这代码强强强,学习
回复

使用道具 举报

ID:349345 发表于 2019-11-4 15:37 | 显示全部楼层
原理图可以发下吗
回复

使用道具 举报

ID:657056 发表于 2019-12-6 14:44 | 显示全部楼层
可以请作者发下原理图吗
回复

使用道具 举报

ID:657056 发表于 2019-12-6 14:51 | 显示全部楼层
楼主求原理图
回复

使用道具 举报

ID:408809 发表于 2019-12-30 17:42 | 显示全部楼层
提示...........\main.m51 contains an  incorrect path.
导致文件编译不了
回复

使用道具 举报

ID:525368 发表于 2019-12-31 14:54 | 显示全部楼层
gps调试
回复

使用道具 举报

ID:728783 发表于 2020-4-14 22:09 来自手机 | 显示全部楼层
为啥我的液晶屏上没有显示数据
回复

使用道具 举报

ID:704585 发表于 2020-6-7 15:39 | 显示全部楼层
感谢楼主分享
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表