找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索

抢答器vhdl源程序

查看数: 2770 | 评论数: 2 | 收藏 0
关灯 | 提示:支持键盘翻页<-左 右->
    组图打开中,请稍候......
发布时间: 2017-12-11 14:18

正文摘要:

动定义为“开始”(即enable)的开关后,一排指示灯变亮,之后抢答开始,有4个扳动开关代表4个抢答器,数码管将显示出最先被扳动的开关的序号,同时发出声音,表示抢答成功。若未按“开始”前,有任意开关被扳动,则 ...

回复

ID:323384 发表于 2019-6-3 12:13
真棒真棒真棒
ID:260092 发表于 2017-12-11 14:20
eached for the definition of "start" (enable) the switch, a row of bright lights changed, after Responder, a four flick of a switch on behalf of four Responder, digital controls are to be shown first to be reached for the switch serial number, also issued voices saying Responder success. If the failure to "start" before it was switched on the flick, Digital Display was the flick of a switch the serial numbers, and issued another voice, someone Responder.

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表