找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1586|回复: 1
打印 上一主题 下一主题
收起左侧

串口读加速度数据陀螺仪数据

[复制链接]
跳转到指定楼层
楼主
ID:239419 发表于 2017-11-24 14:11 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

/*******************************************************************************
// GY-521 MPU6050 IIC2aêÔ3ìDò
// ê1óÃμ¥Ƭ»úSTM32F103C8T6
// ¾§Õñ£o8.00M
// ±àòë»·¾3 Keil uVision4
// ê±¼ä£o2012Äê5ÔÂ1èÕ
// óëÄ£¿éᬽó GPIOB6->SCL GPIOB7->SDA      
// ê1óãoSTM32F103C8T6′®¿ú1ᬽóμçÄÔ
// μçÄÔ′®¿úÖúêÖÏÔê¾£¬2¨ìØÂê£o115200
// QQ£o531389319
*******************************************************************************/

#include "stm32f10x_lib.h"
#include  <math.h>    //Keil library  

GPIO_InitTypeDef GPIO_InitStructure;
ErrorStatus HSEStartUpStatus;

#define   uchar unsigned char
#define   uint unsigned int       

// ¶¨òåMPU6050Äú2¿μØÖ·
//****************************************
#define        SMPLRT_DIV                0x19        //íóÂYòÇ2éÑùÂ꣬μäDíÖμ£o0x07(125Hz)
#define        CONFIG                        0x1A        //μíí¨ÂË2¨ÆμÂ꣬μäDíÖμ£o0x06(5Hz)
#define        GYRO_CONFIG                0x1B        //íóÂYòÇ×Լ켰2aá¿·¶Î§£¬μäDíÖμ£o0x18(2»×Լ죬2000deg/s)
#define        ACCEL_CONFIG        0x1C        //¼óËù¼Æ×Լ졢2aá¿·¶Î§¼°¸ßí¨ÂË2¨ÆμÂ꣬μäDíÖμ£o0x01(2»×Լ죬2G£¬5Hz)
#define        ACCEL_XOUT_H        0x3B
#define        ACCEL_XOUT_L        0x3C
#define        ACCEL_YOUT_H        0x3D
#define        ACCEL_YOUT_L        0x3E
#define        ACCEL_ZOUT_H        0x3F
#define        ACCEL_ZOUT_L        0x40
#define        TEMP_OUT_H                0x41
#define        TEMP_OUT_L                0x42

#define        GYRO_XOUT_H                0x43
#define        GYRO_XOUT_L                0x44       
#define        GYRO_YOUT_H                0x45
#define        GYRO_YOUT_L                0x46
#define        GYRO_ZOUT_H                0x47
#define        GYRO_ZOUT_L                0x48

#define        PWR_MGMT_1                0x6B        //μçÔ′1üàí£¬μäDíÖμ£o0x00(Õy3£ÆôóÃ)
#define        WHO_AM_I                0x75        //IICμØÖ·¼Ä′æÆ÷(ĬèÏêyÖμ0x68£¬Ö»¶á)


//****************************

#define        MPU6050_Addr   0xD0          //¶¨òåÆ÷¼tÔúIIC×üÏßÖDμÄ′óμØÖ·,¸ù¾YALT  ADDRESSμØÖ·òy½Å2»í¬DT¸Ä

unsigned char TX_DATA[4];           //ÏÔê¾¾Y»o′æÇø
unsigned char TX_DATA1[6];           //ÏÔê¾¾Y»o′æÇø
unsigned char BUF[12];       //½óêÕêy¾Y»o′æÇø
char  test=0;                                  //IICóÃμ½
short T_X,T_Y,T_Z,T_T;                 //X,Y,ZÖᣬζè
short ax,ay,az;

//************************************
/*Ä£ÄaIIC¶Ë¿úêä3öêäè붨òå*/
#define SCL_H         GPIOB->BSRR = GPIO_Pin_6
#define SCL_L         GPIOB->BRR  = GPIO_Pin_6

#define SDA_H         GPIOB->BSRR = GPIO_Pin_7
#define SDA_L         GPIOB->BRR  = GPIO_Pin_7

#define SCL_read      GPIOB->IDR  & GPIO_Pin_6
#define SDA_read      GPIOB->IDR  & GPIO_Pin_7

/* oˉêyéêÃ÷ -----------------------------------------------*/
void RCC_Configuration(void);
void GPIO_Configuration(void);
void NVIC_Configuration(void);
void USART1_Configuration(void);
void WWDG_Configuration(void);
void Delay(u32 nTime);
void Delayms(vu32 m);  
/* ±äῶ¨òå ----------------------------------------------*/

  /*******************************/
       
       
       

/*******************************************************************************
* Function Name  : I2C_GPIO_Config
* Description    : Configration Simulation IIC GPIO
* Input          : None
* Output         : None
* Return         : None
****************************************************************************** */
void I2C_GPIO_Config(void)
{
  GPIO_InitTypeDef  GPIO_InitStructure;

  GPIO_InitStructure.GPIO_Pin =  GPIO_Pin_6;
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_OD;  
  GPIO_Init(GPIOB, &GPIO_InitStructure);

  GPIO_InitStructure.GPIO_Pin =  GPIO_Pin_7;
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_OD;
  GPIO_Init(GPIOB, &GPIO_InitStructure);
}

/*******************************************************************************
* Function Name  : I2C_delay
* Description    : Simulation IIC Timing series delay
* Input          : None
* Output         : None
* Return         : None
****************************************************************************** */
void I2C_delay(void)
{
               
   u8 i=30; //Õaàï¿éòÔóÅ»ˉËù¶è        £¬¾-2aêÔ×îμíμ½5»1ÄüD′èë
   while(i)
   {
     i--;
   }  
}

void delay5ms(void)
{
               
   int i=5000;  
   while(i)
   {
     i--;
   }  
}
/*******************************************************************************
* Function Name  : I2C_Start
* Description    : Master Start Simulation IIC Communication
* Input          : None
* Output         : None
* Return         : Wheather         Start
****************************************************************************** */
bool I2C_Start(void)
{
        SDA_H;
        SCL_H;
        I2C_delay();
        if(!SDA_read)return FALSE;        //SDAÏßÎaμíμçƽÔò×üÏßÃ|,íË3ö
        SDA_L;
        I2C_delay();
        if(SDA_read) return FALSE;        //SDAÏßÎa¸ßμçƽÔò×üÏß3ö′í,íË3ö
        SDA_L;
        I2C_delay();
        return TRUE;
}
/*******************************************************************************
* Function Name  : I2C_Stop
* Description    : Master Stop Simulation IIC Communication
* Input          : None
* Output         : None
* Return         : None
****************************************************************************** */
void I2C_Stop(void)
{
        SCL_L;
        I2C_delay();
        SDA_L;
        I2C_delay();
        SCL_H;
        I2C_delay();
        SDA_H;
        I2C_delay();
}
/*******************************************************************************
* Function Name  : I2C_Ack
* Description    : Master Send Acknowledge Single
* Input          : None
* Output         : None
* Return         : None
****************************************************************************** */
void I2C_Ack(void)
{       
        SCL_L;
        I2C_delay();
        SDA_L;
        I2C_delay();
        SCL_H;
        I2C_delay();
        SCL_L;
        I2C_delay();
}   
/*******************************************************************************
* Function Name  : I2C_NoAck
* Description    : Master Send No Acknowledge Single
* Input          : None
* Output         : None
* Return         : None
****************************************************************************** */
void I2C_NoAck(void)
{       
        SCL_L;
        I2C_delay();
        SDA_H;
        I2C_delay();
        SCL_H;
        I2C_delay();
        SCL_L;
        I2C_delay();
}
/*******************************************************************************
* Function Name  : I2C_WaitAck
* Description    : Master Reserive Slave Acknowledge Single
* Input          : None
* Output         : None
* Return         : Wheather         Reserive Slave Acknowledge Single
****************************************************************************** */
bool I2C_WaitAck(void)          //·μ»ØÎa:=1óDACK,=0ÎTACK
{
        SCL_L;
        I2C_delay();
        SDA_H;                       
        I2C_delay();
        SCL_H;
        I2C_delay();
        if(SDA_read)
        {
      SCL_L;
          I2C_delay();
      return FALSE;
        }
        SCL_L;
        I2C_delay();
        return TRUE;
}
/*******************************************************************************
* Function Name  : I2C_SendByte
* Description    : Master Send a Byte to Slave
* Input          : Will Send Date
* Output         : None
* Return         : None
****************************************************************************** */
void I2C_SendByte(u8 SendByte) //êy¾Y′ó¸ßλμ½μíλ//
{
    u8 i=8;
    while(i--)
    {
        SCL_L;
        I2C_delay();
      if(SendByte&0x80)
        SDA_H;  
      else
        SDA_L;   
        SendByte<<=1;
        I2C_delay();
                SCL_H;
        I2C_delay();
    }
    SCL_L;
}  
/*******************************************************************************
* Function Name  : I2C_RadeByte
* Description    : Master Reserive a Byte From Slave
* Input          : None
* Output         : None
* Return         : Date From Slave
****************************************************************************** */
unsigned char I2C_RadeByte(void)  //êy¾Y′ó¸ßλμ½μíλ//
{
    u8 i=8;
    u8 ReceiveByte=0;

    SDA_H;                               
    while(i--)
    {
      ReceiveByte<<=1;      
      SCL_L;
      I2C_delay();
          SCL_H;
      I2C_delay();       
      if(SDA_read)
      {
        ReceiveByte|=0x01;
      }
    }
    SCL_L;
    return ReceiveByte;
}
//ZRX         
//μ¥×Ö½úD′èë*******************************************

bool Single_Write(unsigned char SlaveAddress,unsigned char REG_Address,unsigned char REG_data)                     //void
{
          if(!I2C_Start())return FALSE;
    I2C_SendByte(SlaveAddress);   //·¢Ëíé豸μØÖ·+D′DÅoÅ//I2C_SendByte(((REG_Address & 0x0700) >>7) | SlaveAddress & 0xFFFE);//éèÖøßÆeê¼μØÖ·+Æ÷¼tμØÖ·
    if(!I2C_WaitAck()){I2C_Stop(); return FALSE;}
    I2C_SendByte(REG_Address );   //éèÖÃμíÆeê¼μØÖ·      
    I2C_WaitAck();       
    I2C_SendByte(REG_data);
    I2C_WaitAck();   
    I2C_Stop();
    delay5ms();
    return TRUE;
}

//μ¥×Ö½ú¶áè¡*****************************************
unsigned char Single_Read(unsigned char SlaveAddress,unsigned char REG_Address)
{   unsigned char REG_data;            
        if(!I2C_Start())return FALSE;
    I2C_SendByte(SlaveAddress); //I2C_SendByte(((REG_Address & 0x0700) >>7) | REG_Address & 0xFFFE);//éèÖøßÆeê¼μØÖ·+Æ÷¼tμØÖ·
    if(!I2C_WaitAck()){I2C_Stop();test=1; return FALSE;}
    I2C_SendByte((u8) REG_Address);   //éèÖÃμíÆeê¼μØÖ·      
    I2C_WaitAck();
    I2C_Start();
    I2C_SendByte(SlaveAddress+1);
    I2C_WaitAck();

        REG_data= I2C_RadeByte();
    I2C_NoAck();
    I2C_Stop();
    //return TRUE;
        return REG_data;

}                                                     

/*
********************************************************************************
** oˉêyÃû3Æ £o RCC_Configuration(void)
** oˉêy1|Äü £o ê±Öó3õê¼»ˉ
** êä    èë        £o ÎT
** êä    3ö        £o ÎT
** ·μ    »Ø        £o ÎT
********************************************************************************
*/
void RCC_Configuration(void)
{   
  /* RCC system reset(for debug purpose) */
  RCC_DeInit();

  /* Enable HSE */
  RCC_HSEConfig(RCC_HSE_ON);

  /* Wait till HSE is ready */
  HSEStartUpStatus = RCC_WaitForHSEStartUp();

  if(HSEStartUpStatus == SUCCESS)
  {
    /* HCLK = SYSCLK */
    RCC_HCLKConfig(RCC_SYSCLK_Div1);

    /* PCLK2 = HCLK */
    RCC_PCLK2Config(RCC_HCLK_Div1);

    /* PCLK1 = HCLK/2 */
    RCC_PCLK1Config(RCC_HCLK_Div2);

    /* Flash 2 wait state */
    FLASH_SetLatency(FLASH_Latency_2);
    /* Enable Prefetch Buffer */
    FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);

    /* PLLCLK = 8MHz * 9 = 72 MHz */
    RCC_PLLConfig(RCC_PLLSource_HSE_Div1, RCC_PLLMul_9);

    /* Enable PLL */
    RCC_PLLCmd(ENABLE);

    /* Wait till PLL is ready */
    while(RCC_GetFlagStatus(RCC_FLAG_PLLRDY) == RESET)
    {
    }

    /* Select PLL as system clock source */
    RCC_SYSCLKConfig(RCC_SYSCLKSource_PLLCLK);

    /* Wait till PLL is used as system clock source */
    while(RCC_GetSYSCLKSource() != 0x08)
    {
    }
  }
   /* Enable GPIOA, GPIOB, GPIOC, GPIOD, GPIOE, GPIOF, GPIOG and AFIO clocks */
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA | RCC_APB2Periph_GPIOB , ENABLE);
        RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOC | RCC_APB2Periph_GPIOD , ENABLE);
        RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOE | RCC_APB2Periph_GPIOF , ENABLE);
        RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOG | RCC_APB2Periph_AFIO  , ENABLE);  
}

/*
********************************************************************************
** oˉêyÃû3Æ £o GPIO_Configuration(void)
** oˉêy1|Äü £o ¶Ë¿ú3õê¼»ˉ
** êä    èë        £o ÎT
** êä    3ö        £o ÎT
** ·μ    »Ø        £o ÎT
********************************************************************************
*/
void GPIO_Configuration(void)
{
  GPIO_InitTypeDef GPIO_InitStructure;
  RCC_APB2PeriphClockCmd( RCC_APB2Periph_GPIOA | RCC_APB2Periph_GPIOB | RCC_APB2Periph_GPIOC | RCC_APB2Periph_GPIOD, ENABLE  );
   /* Configure USART1 Tx (PA.09) as alternate function push-pull */
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;                                 //        Ñ¡ÖD1ü½Å9
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;                 // ¸′óÃíÆíìêä3ö
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;                 // ×î¸ßêä3öËùÂê50MHz
  GPIO_Init(GPIOA, &GPIO_InitStructure);                                 // Ñ¡ÔñA¶Ë¿ú

  /* Configure USART1 Rx (PA.10) as input floating */
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10;                          //Ñ¡ÖD1ü½Å10
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;          //¸¡¿Õêäèë
  GPIO_Init(GPIOA, &GPIO_InitStructure);                                  //Ñ¡ÔñA¶Ë¿ú

}

/*
********************************************************************************
** oˉêyÃû3Æ £o USART1_Configuration(void)
** oˉêy1|Äü £o ′®¿ú13õê¼»ˉ
** êä    èë        £o ÎT
** êä    3ö        £o ÎT
** ·μ    »Ø        £o ÎT
********************************************************************************
*/
void USART1_Configuration(void)
{

USART_InitTypeDef USART_InitStructure;
USART_ClockInitTypeDef  USART_ClockInitStructure;

RCC_APB2PeriphClockCmd(RCC_APB2Periph_TIM1 |RCC_APB2Periph_USART1, ENABLE  );

USART_ClockInitStructure.USART_Clock = USART_Clock_Disable;                        // ê±Öóμíμçƽ»î¶ˉ
USART_ClockInitStructure.USART_CPOL = USART_CPOL_Low;                                // ê±Öóμíμçƽ
USART_ClockInitStructure.USART_CPHA = USART_CPHA_2Edge;                                // ê±Öóμú¶t¸ö±ßÑؽøDDêy¾Y2¶»ñ
USART_ClockInitStructure.USART_LastBit = USART_LastBit_Disable;                // ×îoóò»Î»êy¾YμÄê±ÖóÂö3å2»′óSCLKêä3ö
/* Configure the USART1 synchronous paramters */
USART_ClockInit(USART1, &USART_ClockInitStructure);                                        // ê±Öó2Îêy3õê¼»ˉéèÖÃ
                                                                                                                                         
USART_InitStructure.USART_BaudRate = 115200;                                                  // 2¨ìØÂêÎa£o115200
USART_InitStructure.USART_WordLength = USART_WordLength_8b;                          // 8λêy¾Y
USART_InitStructure.USART_StopBits = USART_StopBits_1;                                  // ÔúÖ¡½áÎ2′«êä1¸öí£Ö1λ
USART_InitStructure.USART_Parity = USART_Parity_No ;                                  // Ææżê§Äü
USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;        // ó2¼tá÷¿ØÖÆê§Äü

USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;                  // ·¢Ëíê1Äü+½óêÕê1Äü
/* Configure USART1 basic and asynchronous paramters */
USART_Init(USART1, &USART_InitStructure);

  /* Enable USART1 */
USART_ClearFlag(USART1, USART_IT_RXNE);                         //ÇåÖD¶Ï£¬òÔÃaò»ÆôóÃÖD¶Ïoóᢼ′2úéúÖD¶Ï
USART_ITConfig(USART1,USART_IT_RXNE, ENABLE);                //ê1ÄüUSART1ÖD¶ÏÔ′
USART_Cmd(USART1, ENABLE);                                                        //USART1×ü¿a1Ø£o¿aÆô
}


/*
********************************************************************************
** oˉêyÃû3Æ £o NVIC_Configuration(void)
** oˉêy1|Äü £o ÖD¶Ï3õê¼»ˉ
** êä    èë        £o ÎT
** êä    3ö        £o ÎT
** ·μ    »Ø        £o ÎT
********************************************************************************
*/
void NVIC_Configuration(void)
{
  NVIC_InitTypeDef NVIC_InitStructure;  
  NVIC_PriorityGroupConfig(NVIC_PriorityGroup_0);

  NVIC_InitStructure.NVIC_IRQChannel = WWDG_IRQChannel;
  NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0;
  NVIC_Init(&NVIC_InitStructure);

}

/*
********************************************************************************
** oˉêyÃû3Æ £o WWDG_Configuration(void)
** oˉêy1|Äü £o ¿′ÃÅ1·3õê¼»ˉ
** êä    èë        £o ÎT
** êä    3ö        £o ÎT
** ·μ    »Ø        £o ÎT
********************************************************************************
*/
void WWDG_Configuration(void)
{
  RCC_APB1PeriphClockCmd(RCC_APB1Periph_WWDG, ENABLE);       
  WWDG_SetPrescaler(WWDG_Prescaler_8);                      //  WWDG clock counter = (PCLK1/4096)/8 = 244 Hz (~4 ms)  
  WWDG_SetWindowValue(0x41);                                 // Set Window value to 0x41
  WWDG_Enable(0x50);                       // Enable WWDG and set counter value to 0x7F, WWDG timeout = ~4 ms * 64 = 262 ms
  WWDG_ClearFlag();                               // Clear EWI flag
  WWDG_EnableIT();                               // Enable EW interrupt
}

/*
********************************************************************************
** oˉêyÃû3Æ £o Delay(vu32 nCount)
** oˉêy1|Äü £o Ñóê±oˉêy
** êä    èë        £o ÎT
** êä    3ö        £o ÎT
** ·μ    »Ø        £o ÎT
********************************************************************************
*/
void Delay(vu32 nCount)
{
  for(; nCount != 0; nCount--);
}

/*
********************************************************************************
** oˉêyÃû3Æ £o void Delayms(vu32 m)
** oˉêy1|Äü £o 3¤Ñóê±oˉêy         m=1,Ñóê±1ms
** êä    èë        £o ÎT
** êä    3ö        £o ÎT
** ·μ    »Ø        £o ÎT
********************************************************************************
*/
void Delayms(vu32 m)
{
  u32 i;

  for(; m != 0; m--)       
       for (i=0; i<50000; i++);
}

/*
********************************************************************************
** oˉêyÃû3Æ £o WWDG_IRQHandler(void)
** oˉêy1|Äü £o ′°¿úìáÇ°»½DÑÖD¶Ï
** êä    èë        £o ÎT
** êä    3ö        £o ÎT
** ·μ    »Ø        £o ÎT
********************************************************************************
*/

void WWDG_IRQHandler(void)
{
  /* Update WWDG counter */
  WWDG_SetCounter(0x50);
       
  /* Clear EWI flag */
  WWDG_ClearFlag();
}
//************************************************

//3õê¼»ˉMPU6050£¬¸ù¾YDèòaÇë2ο¼pdf½øDDDT¸Ä************************
void Init_MPU6050(void)
{
/*
   Single_Write(MPU6050_Addr,PWR_M, 0x80);   //
   Single_Write(MPU6050_Addr,SMPL, 0x07);    //
   Single_Write(MPU6050_Addr,DLPF, 0x1E);    //¡à2000¡ã
   Single_Write(MPU6050_Addr,INT_C, 0x00 );  //
   Single_Write(MPU6050_Addr,PWR_M, 0x00);   //
*/
           Single_Write(MPU6050_Addr,PWR_MGMT_1, 0x00);        //½a3yDYÃß×′ì¬
        Single_Write(MPU6050_Addr,SMPLRT_DIV, 0x07);
        Single_Write(MPU6050_Addr,CONFIG, 0x06);
        Single_Write(MPU6050_Addr,GYRO_CONFIG, 0x18);
        Single_Write(MPU6050_Addr,ACCEL_CONFIG, 0x01);
}
       
//******¶áè¡MPU6050êy¾Y****************************************
void READ_MPU6050(void)
{
   BUF[0]=Single_Read(MPU6050_Addr,GYRO_XOUT_L);
   BUF[1]=Single_Read(MPU6050_Addr,GYRO_XOUT_H);
   T_X=        (BUF[1]<<8)|BUF[0];
   T_X/=16.4;                                                    //¶á衼ÆËãXÖáêy¾Y

   BUF[2]=Single_Read(MPU6050_Addr,GYRO_YOUT_L);
   BUF[3]=Single_Read(MPU6050_Addr,GYRO_YOUT_H);
   T_Y=        (BUF[3]<<8)|BUF[2];
   T_Y/=16.4;                                                    //¶á衼ÆËãYÖáêy¾Y
   BUF[4]=Single_Read(MPU6050_Addr,GYRO_ZOUT_L);
   BUF[5]=Single_Read(MPU6050_Addr,GYRO_ZOUT_H);
   T_Z=        (BUF[5]<<8)|BUF[4];
   T_Z/=16.4;                                                //¶á衼ÆËãZÖáêy¾Y
       
       
        BUF[6]=Single_Read(MPU6050_Addr,ACCEL_XOUT_L);
  BUF[7]=Single_Read(MPU6050_Addr,ACCEL_XOUT_H);
        ax=((BUF[7]<<8)|BUF[6]);
       
       
        BUF[8]=Single_Read(MPU6050_Addr,ACCEL_YOUT_L);
  BUF[9]=Single_Read(MPU6050_Addr,ACCEL_YOUT_H);
        ay=((BUF[9]<<8)|BUF[8]);
       
       
        BUF[10]=Single_Read(MPU6050_Addr,ACCEL_ZOUT_L);
  BUF[11]=Single_Read(MPU6050_Addr,ACCEL_ZOUT_H);
        az=((BUF[11]<<8)|BUF[10]);

       
       
       
       

  // BUF[6]=Single_Read(MPU6050_Addr,TEMP_OUT_L);
  // BUF[7]=Single_Read(MPU6050_Addr,TEMP_OUT_H);
  // T_T=(BUF[7]<<8)|BUF[6];
  // T_T = 35+ ((double) (T_T + 13200)) / 280;// ¶á衼ÆËã3öζè
}


  /*
********************************************************************************
** oˉêyÃû3Æ £o main(void)
** oˉêy1|Äü £o Ö÷oˉêy
** êä    èë        £o ÎT
** êä    3ö        £o ÎT
** ·μ    »Ø        £o ÎT
********************************************************************************
*/




void  USART1_SendData(uchar SendData)
{
USART_SendData(USART1, SendData);
Delayms(1);
}

//********′®¿ú·¢Ëíêy¾Y***************************************
void Send_data(uchar axis)
{uchar i;
  USART1_SendData(axis);
  USART1_SendData(':');
  for(i=0;i<4;i++)USART1_SendData(TX_DATA[i]);
  USART1_SendData(' ');
  USART1_SendData(' ');
}




void Send_data1(uchar axis)
{uchar i;
  USART1_SendData(axis);
  USART1_SendData(':');
   for(i=0;i<6;i++)USART1_SendData(TX_DATA1[i]);
  USART1_SendData(' ');
  USART1_SendData(' ');
}



// DATA_printf(TX_DATA,T_X);//×a»»XÖáêy¾Yμ½êy×é
void DATA_printf(uchar *s,short temp_data)
{
       
        if(temp_data<0){
        temp_data=-temp_data;
    *s='-';
        }
        else *s=' ';//μúò»¸ö
    *++s =temp_data/100+0x30;//μú¶t¸ö
       
    temp_data=temp_data%100;     //è¡óàÔËËã
    *++s =temp_data/10+0x30;//3
    temp_data=temp_data%10;      //è¡óàÔËËã
    *++s =temp_data+0x30;         //4
}
void DATA_printf1(uchar *s,short temp_data)
{

        if(temp_data<0){
        temp_data=-temp_data;
    *s='-';
        }
        else *s=' ';//μúò»¸ö
       
        *(s+1)  =temp_data/10000+0x30;//3
    temp_data=temp_data%10000;
*(s+2) =temp_data/1000+0x30;//3
    temp_data=temp_data%1000;
        *(s+3) =temp_data/100+0x30;//3
    temp_data=temp_data%100;
        *(s+4) =temp_data/10+0x30;//3
    temp_data=temp_data%10;      //è¡óàÔËËã
*(s+5) =temp_data+0x30;         //4
}

int main(void)
{
  RCC_Configuration();                 //ÅäÖÃRCC
  GPIO_Configuration();                 //ÅäÖÃGPIO
  USART1_Configuration();         //ÅäÖÃ′®¿ú1
  I2C_GPIO_Config();                 //ÅäÖÃIICê1óö˿ú
  Delayms(10);                                 //Ñóê±
  Init_MPU6050();                     //3õê¼»ˉMPU6050
  while(1)
{
        READ_MPU6050();                 //¶áè¡MPU6050êy¾Y
    DATA_printf(TX_DATA,T_X);//×a»»XÖáêy¾Yμ½êy×é
        Send_data('X');                         //·¢ËíXÖáêy
        DATA_printf(TX_DATA,T_Y);//×a»»YÖáêy¾Yμ½êy×é
        Send_data('Y');                         //·¢ËíYÖáêy
        DATA_printf(TX_DATA,T_Z);//×a»»ZÖáêy¾Yμ½êy×é
        Send_data('Z');                         //·¢ËíZÖáêy
        /*
         DATA_printf(TX_DATA,T_T);//×a»»Î¶èêy¾Yμ½êy×é
        Send_data('T');                         //·¢Ëíζèêy¾Y
         */
         DATA_printf1(TX_DATA1,ax);//×a»»Î¶èêy¾Yμ½êy×é
        Send_data1('A');       
         DATA_printf1(TX_DATA1,ay);//×a»»Î¶èêy¾Yμ½êy×é
        Send_data1('B');       
         DATA_printf1(TX_DATA1,ay);//×a»»Î¶èêy¾Yμ½êy×é
        Send_data1('C');       
         
        USART1_SendData(0X0D);         //»»DD
        USART1_SendData(0X0A);         //»Ø3μ
        Delayms(5);                                 //Ñóê±
  }
}

/*************½áêø***************/


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:239419 发表于 2017-11-24 14:11 | 只看该作者
直接用   已经写好  板子stm32f103c8
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表