找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
作者 回复/查看 最后发表
预览 SM8952AC25P、MT8870、TC35智能家居控制系统设计 attachment uid:744308 2020-5-5 09:43 11618 2024-1-11 16:42
预览 自整定PID温控51单片机STM32程序源码 PCB原理图资料 attach_img heatlevel agree uid:859423 2023-6-11 15:01 21692 2023-6-21 08:17
预览 51单片机播放音乐SoundPlay.h头文件 程序Proteus仿真图 attach_img agree uid:1014599 2022-4-17 08:56 21668 2023-6-4 15:37
预览 TM1639驱动共阳数码管示例 uid:213173 2023-3-13 21:37 81641 2023-5-7 11:17
预览 也跟风玩一玩CH552 attach_img heatlevel agree uid:71233 2023-1-31 09:18 81647 2023-4-7 22:48
预览 成功点亮无控制器的屏TG240128C attach_img uid:120219 2022-8-14 09:27 61710 2023-5-9 20:55
预览 带中文注释的单片机温控风扇程序 attachment uid:1093781 2023-9-17 15:05 41618 2023-9-26 10:19
预览 51单片机驱动NOKIA7110液晶程序 attach_img agree uid:412177 2023-8-15 18:32 51639 2023-8-20 22:48
预览 简易示波器(老刘爱捣鼓)程序图流程分解,简单易懂 attach_img agree uid:636442 2023-8-15 23:44 41699 2023-8-24 19:32
预览 商场自动开闭门控制 单片机程序 attachment uid:280979 2019-11-13 00:10 31692 2023-7-31 01:31
预览 STC8H4K64TL单片机大批量到货了,标配 RTC uid:961114 2022-4-12 09:45 31617 2023-8-11 10:56
预览 第二个单片机程序基本成功~~~ attach_img agree uid:260656 2022-10-21 23:10 91624 2022-11-24 14:34
预览 给大家发张集51单片C程序,网上搜集,交流用 attachment uid:1042173 2022-8-28 13:31 51651 2022-11-18 15:55
预览 STCunio例程与学习资料 attach_img agree uid:960837 2021-8-16 23:26 11713 2022-11-24 17:41
预览 基于51单片机的DS18B20温度报警器Proteus仿真代码 有详细注释 attachment agree uid:1047352 2022-10-25 19:19 21640 2022-11-11 18:23
预览 基于51单片机的电子密码锁程序Proteus仿真图设计 attach_img agree uid:1049390 2022-10-28 16:14 01626 2022-10-28 16:14
预览 一个位运算头文件 attach_img agree uid:155811 2022-9-22 21:48 81678 2022-10-20 11:39
预览 STC8H4K64TLCD-45MHz-LQFP64,硬件LCD段码驱动显示程序,硬件实时时钟演示程序 attach_img agree uid:961114 2022-10-28 09:52 01684 2022-10-28 09:52
预览 新手弄的单片机定时器延时代码 attach_img agree uid:260656 2022-10-29 11:33 61647 2022-11-1 16:38
预览 STC8H8K64U/STC32G12K128转DIP64核心功能实验板 收到啦 attach_img uid:1047954 2022-10-17 09:36 71618 2022-11-6 23:52
预览 51单片机各种汇编语言实用子程序库 attachment agree uid:72088 2022-4-25 08:05 11712 2022-10-6 14:32
预览 基于C语言switch-case结构:4KHZ无源蜂鸣器单片机驱动源程序 agree uid:1036918 2022-6-25 14:23 51705 2022-11-17 10:13
预览 STC32G96K256-108MHz-LQFP100,LQFP64, LQFP48 attach_img uid:155507 2023-8-4 14:21 31660 2023-11-10 07:43
预览 51单片机水箱检测系统程序 检测温度ph值 PID算法控制温度到设置值 attach_img agree uid:679189 2022-12-4 20:44 11680 2023-12-6 08:15
预览 bmp280 51单片机代码实现 attach_img uid:1039399 2022-7-13 17:40 11697 2023-10-31 02:50
预览 单片机超声波测距程序资料(HC-SR04) attach_img uid:1001583 2022-3-13 17:38 11645 2023-11-25 10:54
预览 单片机自行车码表程序Proteus仿真电路原理图(霍尔测速) attach_img agree uid:467203 2023-9-14 20:49 01653 2023-11-24 11:08
预览 P3.75单红64X16半板点阵屏 STC8A单片机驱动 attach_img agree uid:599538 2022-3-18 16:43 11628 2022-12-19 14:43
预览 分享一块自己制作的单片机开发板PCB文件和电路原理图 attach_img agree uid:1059984 2023-1-1 15:05 61631 2023-1-15 22:34
预览 基于51单片机设计的出租车计价器源程序+Proteus仿真图文档等资料 attach_img agree uid:1047870 2022-10-16 11:21 11674 2023-2-12 14:42
预览 STM32F103C8T6最小系统板是否可以安装在51单片机开发板的芯片座上使用 attach_img uid:971183 2023-1-19 19:51 111644 2023-2-4 19:28
预览 74HC系列芯片手册总汇 attach_img heatlevel uid:1061508 2023-1-27 21:46 101648 2023-2-8 23:11
预览 STC单片机直驱LED数码管显示DS18B20温度 电子温度计 attachment uid:1029542 2022-12-9 21:27 11638 2023-3-12 10:12
预览 如何在keil 4编译器上打开别人编译好的工程? uid:836737 2023-1-18 20:06 41653 2023-1-20 19:14
预览 汇编:51定时器流水灯 attach_img uid:644357 2022-7-21 10:22 31697 2022-7-21 15:03
预览 有STC15W408S的脚位图吗 attach_img uid:1040289 2022-8-12 12:31 61617 2022-8-14 10:06
预览 基于51单片机的左右来回的流水灯Proteus仿真代码 attach_img agree uid:1037536 2022-6-29 10:26 01618 2022-9-26 21:05
预览 调试大半天,怎么都点不了OLED,最后发现是一个哭笑不得的问题 attach_img uid:950349 2022-8-15 10:25 61710 2022-8-18 14:45
预览 STC8H8K64U-45I-LQFP64的D版到 所有I/O口可中断 attach_img uid:961114 2022-8-31 17:27 61642 2022-9-4 00:00
预览 基于单片机的LED胶片观影灯设计 含PCB与源程序 attach_img agree uid:362773 2018-7-1 23:30 01716 2018-7-1 23:30
预览 51单片机的红外计算器程序源码 attachment uid:249625 2018-8-3 11:26 01702 2018-8-3 11:26
预览 求大神帮忙看一下程序 音乐喷泉控制 能达到目的吗 uid:356578 2018-6-27 13:18 11636 2018-6-27 22:53
预览 51单片机流水灯仿真和源码的作业题和答案分享 attach_img agree uid:364732 2018-7-4 12:45 01714 2018-7-4 12:45
预览 PWM 控制呼吸灯 uid:267349 2018-6-27 15:21 31706 2018-6-30 11:22
预览 如何修改仿真芯片82G516的IAP空间 attach_img agree uid:27770 2018-8-4 17:56 01631 2018-8-4 17:56
预览 proteus仿真俄罗斯方块程序 Lcd-6963256-2屏幕显示 attach_img uid:366700 2018-7-7 10:49 01691 2018-7-7 10:49
预览 51单片机字符串发送与接收源码 attach_img agree uid:359331 2018-6-26 15:45 41648 2018-7-16 17:42
预览 一个神奇的洞洞板设计软件diylc下载 attach_img uid:375196 2018-7-25 13:20 01696 2018-7-25 13:20
预览 参加学校电赛的多功能万年历 attach_img agree uid:368227 2018-7-11 18:41 01639 2018-7-11 18:41
预览 51单片机pwm多级调试源程序 attachment uid:366678 2018-7-7 10:08 01617 2018-7-7 10:08
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

返回顶部 返回版块