找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
作者 回复/查看 最后发表
预览 交通灯求程序思路,想做一个 uid:84392 2015-6-30 20:35 31603 2015-7-1 22:12
预览 单片机与嵌入式系统课件(共八章)下载 attach_img digest agree uid:265699 2017-12-23 15:38 31551 2017-12-23 18:36
预览 简易触摸台灯 新人帖 attach_img uid:218695 2017-7-11 09:31 31592 2018-4-16 11:33
预览 什么是有源晶振 uid:79827 2015-7-27 15:34 01525 2015-7-27 15:34
预览 智能抄表与晶振 uid:79827 2015-7-1 17:39 01616 2015-7-1 17:39
预览 新人最喜欢的51单片机源程序(c,hex等格式,里面解说齐全) 新人帖 attach_img agree uid:189745 2017-4-15 10:29 31611 2017-4-20 21:46
预览 使用Proteus学习单片机系列教程-点亮LED attach_img agree uid:258127 2021-1-22 22:27 01565 2021-1-22 22:27
预览 51单片机WiFi8266设计温湿度手机控制LED attach_img uid:198608 2021-1-4 18:00 01613 2021-1-4 18:00
预览 单片机垂直起降控制程序 PWM输出,PCF8591读取角度 attachment uid:849756 2020-12-13 09:09 31589 2020-12-16 20:57
预览 分享一個簡短易懂一拖三流星燈代碼 uid:609560 2019-11-8 10:23 01575 2019-11-8 10:23
预览 带按键和数码管的单片机最小系统电路介绍 attach_img agree uid:648784 2019-11-25 18:30 01548 2019-11-25 18:30
预览 原创设计 ESP12自己动手用机智云soc方案写一个两路继电器 attach_img agree uid:120780 2020-2-22 16:23 01579 2020-2-22 16:23
预览 四步进电机,自动,手动控制,数码管显示速度 单片机四轴机器人程序 agree uid:530391 2019-9-10 13:54 01607 2019-9-10 13:54
预览 nrf24l01 C51单片机中文注释程序及详细资料下载 attach_img agree uid:233067 2019-3-27 18:34 01598 2019-3-27 18:34
预览 数码管段码计算工具 attach_img uid:303383 2018-11-29 17:48 11561 2018-12-12 19:40
预览 STC12c5A60s2单片机DHT11+LCD12864+JQ8400语音播报环境检测程序 agree uid:919912 2021-10-26 12:55 01589 2021-10-26 12:55
预览 c8051f020单片机小车循迹程序 uid:972193 2021-10-24 15:51 01525 2021-10-24 15:51
预览 STC12单片机按键控制PWM程序 agree uid:919912 2021-11-10 14:42 01556 2021-11-10 14:42
预览 基于AT89C51单片机的矩阵键盘控制数码管Proteus仿真程序 attach_img agree uid:981469 2021-11-24 12:17 01597 2021-11-24 12:17
预览 大佬们为啥我用stc的单片机芯片将程序烧录进去不亮呢 attach_img uid:974825 2021-11-19 16:34 81586 2021-12-20 11:33
预览 单片机+ADC0808+LCD12864显示电池图标 Proteus仿真程序 attach_img agree uid:978297 2021-12-23 19:42 01545 2021-12-23 19:42
预览 某人需求的 用单片机来制作充电桩改造的程序 agree uid:276663 2021-12-29 17:53 21606 2021-12-30 09:27
预览 基于51单片机的蓝牙避障小车代码 attach_img agree uid:888864 2021-12-16 00:01 01527 2021-12-16 00:01
预览 数码管显示的单片机篮球比赛记分牌程序、Proteus仿真 attach_img agree uid:524923 2021-12-20 16:40 11597 2021-12-21 09:02
预览 如何在Linux下进行51单片机开发 sdcc使用 attach_img agree uid:992041 2021-12-23 09:27 01576 2021-12-23 09:27
预览 单片机看门狗的概念 agree uid:975456 2021-10-28 16:27 11598 2021-10-31 09:53
预览 图片等数据性bin文件转C语言数组文件小工具Bin2C attach_img uid:968289 2021-9-26 10:21 01532 2021-9-26 10:21
预览 单片机软件扩展的多串口数据转发模型 attach_img agree uid:505284 2021-10-10 17:47 01530 2021-10-10 17:47
预览 精减版本Printf函数 适合小容量MCU attach_img digest agree uid:137590 2021-10-17 18:07 01579 2021-10-18 08:55
预览 这是51单片机汇编指令集 希望能帮到大家 attachment uid:975745 2021-10-28 17:20 31612 2021-10-31 17:29
预览 STC12C2052单片机驱动共阳数码管制作 附程序 attach_img agree uid:977804 2022-1-7 19:15 11558 2022-1-7 20:42
预览 基于FwLib_STC8的模数转换ADC介绍和演示用例说明 agree uid:912806 2022-1-10 01:04 01529 2022-1-10 01:04
预览 STC单片机推挽模式使用 attach_img agree uid:977804 2022-1-16 20:17 31602 2022-1-22 17:26
预览 1.75寸(1.8寸)130*162 8位并口汇编程序 ST7735S attach_img agree uid:261025 2022-1-20 19:19 01586 2022-1-20 19:19
预览 单片机手电程序(长按开关机,短按换挡) agree uid:91521 2022-1-23 15:39 21524 2022-1-24 18:45
预览 关于STC12C2052单片机掉电存储EEPROM程序 agree uid:977804 2022-1-8 19:44 01610 2022-1-8 19:44
预览 单片机抗干扰设计的基本原则 uid:923574 2021-5-18 22:47 21551 2022-1-4 19:40
预览 关于单片机短按键、长按键一文 agree uid:149988 2022-1-27 20:43 11596 2022-1-28 19:00
预览 单片机汇编读写SD(tf)卡 附源程序 attach_img agree uid:261025 2022-1-30 09:30 01549 2022-1-30 09:30
预览 [51单片机16路电子开关编程CD4067]2021-12-27 attach_img agree uid:332444 2021-12-27 14:07 51548 2022-1-24 13:55
预览 4位数码管模仿电饭煲工作状态 单片机程序+Proteus仿真图 attach_img agree uid:977804 2022-2-9 21:50 41558 2022-2-10 10:19
预览 单片机矩阵键盘,按键显示数字Proteus仿真代码 attach_img agree uid:991417 2022-3-23 16:04 01593 2022-3-23 16:04
预览 基于51单片机的智能红绿灯Proteus仿真代码 attach_img agree uid:923999 2022-4-1 15:57 01610 2022-4-1 15:57
预览 51单片机+24c02存储模块的液晶显示密码锁代码与Proteus仿真图 attach_img agree uid:679189 2022-5-3 00:48 01584 2022-5-3 00:48
预览 基于51单片机+74HC164进行串转并实现跑马灯程序 Proteus仿真 attach_img agree uid:744356 2022-1-11 19:33 11520 2022-3-23 18:13
预览 51单片机+LCD2004组成两路测速程序与Proteus仿真图 attach_img agree uid:579397 2022-3-26 02:13 01591 2022-3-26 02:13
预览 电子工程类小工具合集 attach_img heatlevel uid:125279 2022-3-29 10:15 31539 2022-4-15 17:00
预览 步进电机精确控制转度 单片机程序 agree uid:1020716 2022-4-22 16:26 21587 2022-4-26 09:10
预览 单片机流水灯 attach_img uid:1013305 2022-3-26 19:50 01528 2022-3-26 19:50
预览 STC15F2K60S2单片机HT1621b芯片源代码 attach_img agree uid:50487 2022-3-22 08:42 21548 2022-4-4 16:56
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

返回顶部 返回版块